TWI780529B - 腔室沉積及蝕刻處理 - Google Patents

腔室沉積及蝕刻處理 Download PDF

Info

Publication number
TWI780529B
TWI780529B TW109142312A TW109142312A TWI780529B TW I780529 B TWI780529 B TW I780529B TW 109142312 A TW109142312 A TW 109142312A TW 109142312 A TW109142312 A TW 109142312A TW I780529 B TWI780529 B TW I780529B
Authority
TW
Taiwan
Prior art keywords
substrate
substrate support
panel
semiconductor processing
plasma
Prior art date
Application number
TW109142312A
Other languages
English (en)
Other versions
TW202137297A (zh
Inventor
馬駿
阿米特 班莎
段安 阮
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202137297A publication Critical patent/TW202137297A/zh
Application granted granted Critical
Publication of TWI780529B publication Critical patent/TWI780529B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本案提供一種半導體處理的示例性方法,可包括以下步驟:在經安置在經容納在半導體處理腔室的處理區域中的基板支撐件上的基板上沉積材料。處理區域可至少部分地由基板支撐件和面板限定。基板支撐件可在處理區域內相對於面板處於第一位置。方法可包括以下步驟:將基板支撐件平移到相對於面板的第二位置。方法可包括以下步驟:在半導體處理腔室的處理區域內形成蝕刻劑前驅物的電漿。方法可包括以下步驟:蝕刻基板的邊緣區域。

Description

腔室沉積及蝕刻處理
本技術涉及用於半導體處理的方法和組件。更具體來說,本技術涉及用於生產硬遮罩膜的系統和方法。
藉由在基板表面上產生複雜圖案化的材料層的處理使得積體電路成為可能。在基板上產生圖案化的材料需要用於形成和去除材料的受控方法。隨著裝置尺寸的不斷減小,結構的長寬比可能會增加,且在移除操作期間維持這些結構的尺寸可能會受到挑戰。為了促進在基板上的材料的圖案化,可使用硬遮罩。隨著經圖案化的材料層的數量的增加,硬遮罩的使用和對多種材料的選擇性變得越來越重要。
因此,需要可用於生產高品質裝置和結構之改善的系統和方法。由本技術解決這些需求和其他需求。
半導體處理的示例性方法可包括以下步驟:在經安置在經容納於半導體處理腔室的處理區域中的基板支撐件上的基板上沉積材料。處理區域可至少部分地由基板支撐件和面板限定。基板支撐件可在處理區域內相對於面板的第一位置處。方法可包括以下步驟:將基板支撐件平移至相對於面板的第二位置。方法可包括以下步驟:在半導體處理腔室的處理區域內形成蝕刻劑前驅物的電漿。方法可包括以下步驟:蝕刻基板的邊緣區域。
在一些實施例中,沉積可包括以下步驟:將含碳的前驅體輸送到半導體處理腔室的處理區域。沉積可包括以下步驟:形成含碳前驅物的電漿,及在基板上沉積含碳材料。蝕刻劑前驅物可以是含氧前驅物或包括含氧前驅物。在第二位置處的基板支撐件和面板之間的距離可小於在第一位置處的基板支撐件和面板之間的距離。當基板支撐件在第二位置中時,可將基板之面對面板的表面定位成距面板小於5 mm或大約5 mm。基板支撐件的特徵可在於:在基板支撐件的外邊緣處的凹陷的凸耳。當基板支撐件在第二位置中時,可將基板支撐件之在凹陷的凸耳處面對面板的表面定位成距面板大於2 mm或大約2 mm。形成蝕刻劑前驅物的電漿之步驟可包括以下步驟:圍繞基板支撐件的邊緣區域形成環形電漿。蝕刻基板的邊緣區域之步驟可執行蝕刻,蝕刻基本上限於從基板的外邊緣延伸小於50 mm或大約50 mm的距離。
本技術的一些實施例可涵蓋半導體處理方法。方法可包括以下步驟:在經安置在經容納在半導體處理腔室的處理區域中的基板支撐件上的基板上沉積材料。處理區域可至少部分地由基板支撐件和面板限定。基板支撐件的特徵可在於:在基板支撐件的外邊緣處的凹陷的凸耳。方法可包括以下步驟:將基板支撐件升高到基板之面對面板的表面可經定位成距面板小於5 mm或大約5 mm的位置。方法可包括以下步驟:在半導體處理腔室的處理區域內形成蝕刻劑前驅物的電漿。方法可包括以下步驟:蝕刻基板的邊緣區域,同時基本上保持材料沉積在基板的中心區域。
在一些實施例中,經沉積在基板上的材料可以是含碳的硬遮罩或包括含碳的硬遮罩。形成蝕刻劑前驅物的電漿之步驟可包括以下步驟:使含氧前驅物流入半導體處理腔室的處理區域中。方法可包括以下步驟:形成含氧前驅物的電漿,及用含氧前驅物的電漿流出物蝕刻經沉積在基板上的材料。升高基板支撐件之步驟可包括以下步驟:將基板之面對面板的表面定位成距面板小於2 mm或大約2 mm。形成蝕刻劑前驅物的電漿之步驟可包括以下步驟:圍繞基板支撐件的邊緣區域形成環形電漿。蝕刻基板的邊緣區域之步驟可執行蝕刻,此蝕刻基本上限於從基板的外邊緣延伸小於50 mm或大約50 mm的距離。方法可包括以下步驟:隨後沉積材料,降低半導體處理腔室中的壓力以淨化半導體處理腔室的處理區域。基板支撐件的特徵可在於:沉積期間的傾斜,及方法可包括以下步驟:調平基板支撐件使基板與面板基本上平行
本技術的一些實施例可涵蓋半導體處理方法。方法可包括以下步驟:在半導體處理腔室的處理區域中形成含碳前驅物的電漿。處理區域可至少部分地由基板支撐件和面板限定。方法可包括以下步驟:在經佈置在基板支撐件上的基板上沉積含碳材料。基板支撐件可在處理區域內相對於面板的第一位置處。方法可包括以下步驟:將基板支撐件升高到相對於面板的第二位置。方法可包括以下步驟:在半導體處理腔室的處理區域內形成含氧前驅物的環形電漿。方法可包括以下步驟:蝕刻基板的邊緣區域。
在一些實施例中,基板支撐件可包括用以將基板保持在基板支撐件上的定位突片。基板支撐件的特徵可在於,在基板支撐件的外邊緣處的凹陷的凸耳,此外邊緣是在其上佈置有基板的區域的徑向外側處。當基板支撐件在第二位置中時,基板之面對面板的表面可距面板小於2 mm或大約2 mm。當基板支撐件在第二位置中時,基板支撐件之在凹陷的凸耳處面向面板的表面可距面板大於2 mm或大約2 mm。
與常規系統和技術相比,這種技術可提供許多好處。例如,本技術的實施例可在單個處理腔室內執行沉積處理和蝕刻處理,這可減少處理佇列時間。另外,本技術可藉由執行斜角蝕刻來減少所形成的膜的剝離。結合以下描述和附圖更詳細地描述了這些和其他實施例及此些實施例的許多優勢和特徵。
電漿增強的沉積處理可激發一種或多種組分前驅物,以促進在基板上的膜形成。可生產任何數量的材料膜來開發半導體結構,其包括導電膜、介電膜,及有助於材料轉移和去除的膜。例如,可形成硬遮罩膜以促進基板的圖案化,同時保護下方的材料以用其他方式保持。在許多處理腔室中,可在氣體面板中混合許多前驅物並將許多前驅物輸送到可在其中設置基板的腔室的處理區域。在處理區域內,可點燃電漿,電漿產生用於沉積的材料。在含碳膜的非限制性示例中,電漿沉積也可在相對較高的溫度下發生,這可促進碳自由基吸附在基板的表面上。
這種沉積可產生可延伸到基板的邊緣區域中的膜,且還可在基板的斜角外邊緣上延伸。此材料的特徵在於:相對於經沉積在經暴露的基板表面上的材料,此材料的黏附力降低。另外,可將氫結合到膜中,這可進一步降低處理期間的黏附力。後續操作可包括微影術,且在一種非限制性微影技術中,可使用浸潤式微影。浸潤式微影可用折射率大於一的液體介質代替工具的最終透鏡與基板表面之間的氣隙。技術的解析度可在空氣中增加等於液體折射率的係數。一些浸潤式微影技術可利用純淨水作為液體介質。水性介質的特徵在於表面張力,此表面張力可進一步增加硬遮罩膜的分層的機會,硬遮罩膜的分層的特徵可在於:如在基板的斜角邊緣周圍之較低的黏附力。
為了限制此效果,可在基板上執行邊緣蝕刻以去除基板斜角上的殘留材料。在從沉積處理腔室轉移包括經沉積材料的基板之後,可在裝載閘腔室或其他蝕刻邊緣區域的蝕刻腔室中執行處理。由於許多平台包括比裝載閘更多的沉積腔室,因此蝕刻處理可能受到限制。另外,亦可執行多次的抽空操作,這進一步減慢了基板產量。
本技術藉由在完成沉積之後執行原位蝕刻處理來克服這些問題。在一些實施例中,本技術可利用經改善的基板支撐件,其可有助於控制蝕刻電漿。另外,藉由在沉積之後直接執行蝕刻,可為多腔室系統解決產量問題。
儘管其餘揭露內容將常規地利用所揭露的技術來識別特定的沉積處理,但將容易理解的是,系統和方法同樣適用於其他沉積、蝕刻和清潔腔室及在所描述腔室中可能發生的處理。因此,不應認為技術僅限於與這些特定的沉積處理或腔室一起使用。在描述對根據本技術的實施例之系統的另外的變化和調整之前,本揭露內容將論述一種可用於執行根據本技術的實施例的處理的可能腔室。
1 示出了根據本技術的一些實施例的示例性處理腔室100的橫截面視圖。圖式可示出結合了本技術的一個或多個態樣的系統的概述,及/或系統可經具體配置為執行根據本技術的實施例的一個或多個操作。可在下文進一步描述腔室100的附加細節或所執行的方法。根據本技術的一些實施例,腔室100可用於形成膜層;儘管應當理解,可類似地在可發生膜形成的任何腔室中執行方法。處理腔室100可包括腔室主體102、經設置在腔室主體102內部的基板支撐件104及與腔室主體102耦接並將基板支撐件104封閉在處理空間120中的蓋組件106。可通過開口126將基板103提供至處理空間120;通常可將開口126密封以使用狹縫閥或門進行處理。在處理期間,可將基板103安置在基板支撐件的表面105上。如箭頭145所示,基板支撐件104可沿著軸147旋轉;基板支撐件104的軸144可位於軸147處。替代地,可在沉積處理期間根據需要來將基板支撐件104提升以旋轉。
電漿輪廓調變器111可經設置在處理腔室100中,以控制電漿在整個經設置在基板支撐件104上的基板103上的分佈。電漿輪廓調變器111可包括第一電極108;第一電極108可經設置成與腔室主體102相鄰且可將腔室主體102與蓋組件106的其他組件分開。第一電極108可以是蓋組件106的一部分,或第一電極108可以是單獨的側壁電極。例如,在一些如將在下文進一步描述的實施例中,第一電極108可以是面板。第一電極108可以是環形構件或環狀構件,且第一電極108可以是環形電極。第一電極108可以是圍繞處理腔室100的圓周(此圓周環繞處理空間120)的連續環,或若需要的話,第一電極108可在所選位置處不連續。第一電極108亦可為穿孔電極(如穿孔環或網狀電極),或第一電極108可為板狀電極(如二次氣體分配器)。
一個或多個隔離器110a、110b可以是介電材料(如陶瓷或金屬氧化物,例如氧化鋁及/或氮化鋁);一個或多個隔離器110a、110b可與第一電極108接觸且將第一電極108與氣體分配器112及腔室主體102電隔離及熱隔離。氣體分配器112可限定用於將處理前驅物分配到處理空間120中的孔118。氣體分配器112可與第一電功率源142耦合;第一電功率源142如RF發生器、RF電源、DC電源、脈衝DC電源、脈衝RF電源或可與處理腔室耦合的任何其他電源。在一些實施例中,第一電功率源142可以是RF電源。
氣體分配器112可以是導電氣體分配器或非導電氣體分配器。氣體分配器112也可由導電組件和非導電組件形成。例如,氣體分配器112的主體可以是導電的,而氣體分配器112的面板可以是不導電的。氣體分配器112可由例如第一電功率源142(如圖1所示)供電,或氣體分配器112在一些實施例中可接地。
第一電極108可與可控制處理腔室100的接地路徑的第一調諧電路128耦合。第一調諧電路128可包括第一電子感測器130和第一電子控制器134。第一電子控制器134可以是或包括可變電容器或其他電路元件。第一調諧電路128可以是或包括一個或多個電感器132。第一調諧電路128可以是在處理期間存在於處理空間120中的電漿狀況下能實現可變或可控阻抗的任何電路。在所示的一些實施例中,第一調諧電路128可包括並聯耦合在接地和第一電子感測器130之間的第一電路分支和第二電路分支。第一電路分支可包括第一電感器132A。第二電路分支可包括與第一電子控制器134串聯耦合的第二電感器132B。第二電感器132B可設置在第一電子控制器134和節點之間,此節點將第一電路分支和第二電路分支兩者皆連接到第一電子感測器130。第一電子感測器130可以是電壓感測器或電流感測器,且第一電子感測器130可與第一電子控制器134耦合;第一電子控制器134可提供對處理空間120內部的電漿狀況的一定程度的閉環控制。
第二電極122可與基板支撐件104耦合。第二電極122可經嵌入在基板支撐件104內或與基板支撐件104的表面耦合。第二電極122可以是板、穿孔板、網、絲網或導電元件的任何其他分佈式佈置。第二電極122可以是調諧電極,且第二電極122可藉由導管146與第二調諧電路136耦合;導管146例如是(例如)經設置在基板支撐件104的軸144中的具有選定電阻(如50歐姆)的電纜。第二調諧電路136可具有第二電子感測器138和可以是第二可變電容器的第二電子控制器140。第二電子感測器138可以是電壓感測器或電流感測器,且第二電子感測器138可與第二電子控制器140耦合以提供對處理空間120中的電漿狀況的進一步控制。
可以是偏置電極及/或靜電吸盤電極的第三電極124可與基板支撐件104耦合。第三電極可透過濾波器148與第二電功率源150耦合;濾波器148可以是阻抗匹配電路。第二電功率源150可以是DC電源、脈衝DC電源、RF偏置電源、脈衝RF電源或偏置電源,或這些電源或其他電源的組合。在一些實施例中,第二電功率源150可以是RF偏置功率。
可將圖1的蓋組件106和基板支撐件104與任何用於電漿處理或熱處理的處理腔室一起使用。在操作中,處理腔室100可提供對處理空間120中電漿狀況的即時控制。可將基板103放置在基板支撐件104上,且可使用入口114使處理氣體根據任何所需的流量計劃流過蓋組件106。氣體可通過出口152離開處理腔室100。電力可與氣體分配器112耦合以在處理空間120中建立電漿。在一些實施例中,可使用第三電極124對基板進行電偏壓。
一旦激發處理空間120中的電漿,就可在電漿與第一電極108之間建立電位差。也可在電漿與第二電極122之間建立電位差。可接著使用電子控制器134、140來調整由兩個調諧電路128和136所表示的接地路徑的流動特性。可將設定點傳送到第一調諧電路128和第二調諧電路136,以提供對沉積速率和從中心到邊緣的電漿密度均勻性的獨立控制。在電子控制器皆可為可變電容器的實施例中,電子感測器可調節可變電容器以獨立地最大化沉積速率且最小化厚度不均勻性。
調諧電路128、136中的每一者可具有可使用相應的電子控制器134、140來調節的可變阻抗。在電子控制器134、140是可變電容器的情況下,可選擇每個可變電容器的電容範圍及第一電感器132A和第二電感器132B的電感以提供阻抗範圍。此範圍可取決於電漿的頻率和電壓特性,其在每個可變電容器的電容範圍內可具有最小值。因此,當第一電子控制器134的電容為最小值或最大值時,第一調諧電路128的阻抗可能很高,這導致電漿形狀在基板支撐件上具有最小的空中或橫向覆蓋。當第一電子控制器134的電容接近使第一調諧電路128的阻抗最小化的值時,電漿的空中覆蓋範圍可增大到最大,從而有效地覆蓋基板支撐件104的整個工作區域。隨著第一電子控制器134的電容偏離最小阻抗設置,電漿形狀可從腔室壁收縮且基板支撐件的空中覆蓋可下降。第二電子控制器140可具有類似的效果,隨著第二電子控制器140的電容可改變,增加和減少了電漿在基板支撐件上的空中覆蓋。
電子感測器130、138可用於在閉環中調諧各個電路128、136。取決於所使用的感測器的類型,可將電流或電壓的設定點安裝在每個感測器中,且感測器可配備有控制軟體,此控制軟體確定對每個相應電子控制器134、140的調整以最小化與設定點的偏差。因此,可在處理期間選擇電漿形狀並對其進行動態控制。應該理解,儘管前述論述是基於可以是可變電容器的電子控制器134、140,但具有可調特性的任何電子組件都可用來為調諧電路128、136提供可調的阻抗。
2 示出了根據本技術的一些實施例的處理方法200中的示例性操作。可在包括上述的處理腔室100的各種處理腔室中執行方法。方法200可包括多個可選操作,此些多個可選操作可以或可以不與根據本技術的方法的一些實施例具體相關。例如,描述了許多操作以提供更大範圍的結構形式,但此些許多操作對技術不是關鍵的,或可藉由容易理解的替代方法來執行此些許多操作。方法200可描述在 3A 至圖 3B 示意性示出的處理腔室300中執行的操作;將結合方法200的操作來描述 3A 至圖 3B 的說明。腔室300可包括上述腔室100的任何態樣。當理解,附圖僅示出了部分示意圖,且基板可包含任意數量之具有如圖所示的態樣及仍可受益於本技術的操作的替代性結構態樣的結構部分。
方法200可包括在所列出的操作開始之前的附加操作。例如,附加的處理操作可包括:在半導體基板上形成結構,其可包括形成和去除材料兩者。可在其中可執行方法200的腔室中執行先前的處理操作,或可在將基板傳送到可在其中執行方法200的半導體處理腔室中之前在一個或多個其他處理腔室中執行處理。無論如何,方法200可以可選地包括以下步驟:將半導體基板輸送到半導體處理腔室(如上述的處理腔室100或可包括上述組件的其他腔室)的處理區域。可將基板沉積在基板支撐件上,此基板支撐件可為如基板支撐件104的基座且可駐留在腔室的處理區域(如上述的處理空間120)中。在圖3A中示出了示例性基板305,及示例性基板305可以是或可包括可在其上執行根據本技術的操作的基板的各態樣。
基板305可以是任何數量之可在其上沉積材料的材料。基板可以是或包括矽、鍺、包括氧化矽或氮化矽的介電材料、金屬材料或這些材料的任意數量的組合,其可為基板305或在基板305上形成的材料。腔室300可包括處理腔室,此處理腔室包括面板310,前驅物可透過面板310傳送以用於處理,且面板310可與電源耦合以在腔室的處理區域內產生電漿。腔室亦可包括腔室主體315,如圖所示,其可包括側壁和底部。如前所述,基座或基板支撐件320可延伸穿過腔室的底部。可在基座、面板及/或腔室壁之間至少部分地限定處理區域。基板支撐件可包括可支撐半導體基板305的支撐平臺325。支撐平臺325可與軸330耦接,軸330可延伸穿過腔室的底部。
方法200可包括處理方法,處理方法可包括用於準備處理腔室、形成硬遮罩膜或其他沉積操作的許多操作,儘管本技術可類似地涵蓋任何其他沉積處理。在操作205處,可將材料沉積在基板上。在沉積期間,基板支撐件320可位於第一位置,此第一位置可以是相對於面板310的第一垂直位置。位置可以是有助於電漿在整個基板支撐件上的發展之相距面板的任何距離,如圖所示。也可在一些操作中傾斜基板,這可有助於改善均勻性以解決腔室特徵。基板的第一位置可為一高度,此高度經配置以在面板和基板支撐件之間產生電漿空間340(在電漿空間340處可產生電容耦合電漿)。
在一個非限制性實施例中,沉積可以是硬遮罩沉積,如含碳的硬遮罩。可將含碳的前驅物輸送到處理區域,且可產生電漿以產生含碳的自由基,含碳的自由基可沉積或吸附在基板上以產生含碳的膜,如硬遮罩。在沉積中可使用任何含碳材料,且含碳前驅物可以是或包括任何烷烴、烯烴或任何其他含碳材料。前驅物可包括含碳和氫的前驅物,其可包括任何量的碳和氫鍵。在一些實施例中,含碳前驅物可由碳-碳鍵和碳-氫鍵組成。可在基板上均勻地或相對均勻地發生沉積,且沉積可延伸到邊緣區域中,其包括基板的斜角邊緣之上或至基板的斜角邊緣。可在任何數量的處理條件下執行沉積,可基於所要執行的特定沉積來調整此些處理條件。例如,對於含碳的硬遮罩,可在高於或大約600℃、高於或大約650℃或更高的溫度下發生處理。另外,腔室內的壓力可維持在約1 Torr至約20 Torr之間,其可包括在此範圍內的任何較小範圍,例如大約3 Torr至大約9 Torr。
隨後,可執行至任何厚度的沉積,在可選操作210處可將腔室抽空以去除任何殘留的沉積前驅物或沉積副產物。例如,可將腔室抽空至小於或大約3 Torr、小於或大約2 Torr、小於或大約1 Torr或更少,以排出任何殘留的材料。另外,例如若在沉積期間基板已傾斜,可執行平坦化操作以使基板與面板平行或基本上平行。「基本上平行」是指基於機器的公差,不可能實現完美的平行度,且此術語涵蓋了誤差範圍,以說明相對於面板而言與完美平面的輕微偏差。在可選操作215處可調平基板支撐平臺325和基板,這可促進隨後的平移操作。
在操作220處,可將基板支撐件垂直平移。例如,可朝著面板310升高基板支撐件,且可相對於面板將基板支撐件從第一位置移動到第二位置。在一些實施例中,第二位置可更靠近面板(如圖3B所示),例如其距離小於當基板支撐件在第一位置中時基板支撐件與面板之間的距離。如將在下文進一步解釋地,距離可能足以限制基板和面板之間的電漿產生。蝕刻劑前驅物可流入腔室中以開始蝕刻處理。根據要蝕刻的材料,在實施例中可使用任何數量的蝕刻劑材料。例如,對於含碳膜,可將含氧前驅物流入腔室以用作蝕刻劑。在整個本技術中所述的任何操作中所使用的含氧前驅物可包括O2 、N2 O、NO2 、O3 、H2 O、臭氧,及任何其他可用於薄膜蝕刻或其他薄膜轉化或清除作業的含氧前驅物。在一些實施例中,可不由蝕刻劑前驅物形成遠端電漿。當產生遠端電漿時,電漿流出物可流過腔室組件且可均勻地分佈在整個基板上。與在可能需要斜角蝕刻的邊緣區域相比,這可蝕刻更多中心區域中的膜。
在操作225處,可從腔室的處理區域內的蝕刻劑前驅物產生電漿。例如,對於含氧的前驅物,含氧的前驅物可透過面板流入腔室的處理區域中。電漿可由含氧的前驅物擊中,儘管電漿可受基板支撐件的位置影響。兩個電漿電極可以是或包括面板和基板支撐件。例如,面板可用作供電的RF電極,儘管在一些實施例中,基板支撐件可相對於面板用作熱電極。如前所述,基板支撐件可將基板定位在距面板一定距離處以限制電漿產生。可在兩個電極之間產生電容耦合電漿,此些兩個電極之間的距離可超過電漿鞘的距離。在電容性電漿中,每個電極可在主體區域空間與電極的固體表面之間的過渡處形成電漿鞘。在用以容納鞘的幾個狄拜(Debye)長度的距離以下,可能不會形成電漿。因此,藉由將基板放置在小於幾個狄拜長度的距離處,在基板和面板之間可能不會產生電漿。
因此,在一些實施例中,當基板支撐件位於第二位置時,基板之面對面板的表面可經定位成距面板小於或大約5 mm,及可經定位成距面板小於或大約4 mm、距面板小於或大約3 mm、距面板小於或大約2 mm、距面板小於或大約1 mm,或更小。取決於腔室動力學,可在距每個電極大於或大約0.5至約1 mm的距離(從而在電極之間的總距離在約1 mm至約2 mm之間)處,在整個基板上形成電漿鞘。因此,藉由將基板保持在此距離處或在此距離內,在基板和面板之間可能不會產生電漿。
然而,對於平坦的基板支撐件或具有凹陷的凹穴或邊緣環的基板支撐件,藉由將基板支撐件如此靠近面板放置,在區域內可能根本不會產生電漿。因此,在一些實施例中,基板支撐件的特徵可在於:如所示,在支撐件的邊緣區域處的凹陷的凸耳。藉由產生可延伸超過幾個狄拜長度的邊緣距離,可在邊緣區域周圍形成電漿,同時限制電漿來自中心區域。因此,可在基本上保持所形成的膜的其餘部分的同時,圍繞基板的斜角及/或邊緣區域執行蝕刻。所形成的電漿的特徵可在於:圍繞基板延伸的環形形狀,及所形成的電漿在操作230處可蝕刻邊緣材料。
如上所述,為了產生電漿,在基板支撐件於第二位置中時,凹陷的凸耳和面板之間的距離可能足以產生電漿。因此,凹陷可大於或大約1 mm、大於或大約2 mm、大於或大約3 mm、大於或大約4 mm或更大。如圖3B所示,凹陷的凸耳345可從面板延伸足夠從而可在基板支撐件周圍形成環形或其他形狀的電漿350。這可在基板斜角周圍蝕刻並去除沉積膜的懸垂。另外,藉由增加基板和基板支撐件之間的距離,所產生的電漿可至少部分地徑向向內延伸,這可允許在基板的邊緣區域上的蝕刻的受控延伸。例如,可在距基板的外邊緣小於或大約50 mm的距離處執行蝕刻,且可經控制為小於或大約45 mm的距離、小於或大約40 mm的距離、小於或大約35 mm的距離、小於或大約30 mm的距離、小於或大約25 mm的距離、小於或大約20 mm的距離、小於或大約15 mm的距離、小於或大約10 mm的距離、小於或大約5 mm的距離,小於或大約2 mm或更小的距離。儘管電漿可能會由於密度梯度而擴散到中心,但幾乎不會到達中心或導致超出對所生產的塊材膜的名義上蝕刻。
4 示出了根據本技術的一些實施例的示例性基板支撐件400的示意性俯視平面圖。基板支撐件400可以是在別處論述的任何基板支撐件的另外的視圖,且可包括在所論述的任何腔室中或可包括在可在半導體處理中使用的任何其他腔室中。如圖所示,基板支撐件400可將基板402安置在支撐件的中心區域405中。凹陷的凸耳410可圍繞基板支撐件延伸,以產生用於斜角蝕刻的電漿產生區域。如圖所示,凹陷的凸耳410可從基板向外延伸一定距離,且可從基板的邊緣向外延伸大於或大約5 mm、且可向外延伸大於或大約10 mm、大於或大約15 mm、大於或大約20 mm、大於或大約25 mm、大於或大約30 mm,或更大。
許多基板支撐件包括用於晶圓的凹穴或邊緣環,這兩者都可提供位置益處及其他益處。例如,在腔室抽空和加壓期間,在沒有適當的安置或組件以維持基板位置的情況下,基板可能在基板支撐件上移動或漂浮,這可能影響偏離中心軸的晶圓上的處理的均勻性。藉由利用具有凹陷的凸耳的加熱器,可能無法獲得像邊緣環或凹穴這樣的態樣。因此,本技術的一些實施例可結合定位突片415;定位突片415可確保即使在具有凹陷的外凸耳的情況下,在處理期間也可將基板保持在適當的位置。可形成或包括突片,且突片的特徵在於:有限的垂直延伸,以限制對斜角處蝕刻處理的任何影響。因此,在一些實施例中,突片的特徵可在於:基板所位於的表面上方的高度小於或大約20 mm,及突片的特徵可在於:距表面的高度小於或大約15 mm、小於或大約12 mm、小於或大約10 mm、小於或大約9 mm、小於或大約8 mm、小於或大約7 mm、小於或大約6 mm、小於或大約5 mm、小於或大約4 mm、小於或大約3 mm、小於或大約2 mm,或更小。藉由在基板支撐件上包括凹陷的凸耳,本技術可允許產生受控的邊緣電漿和蝕刻。這樣的電漿可允許在單個腔室(其中已在基板上執行沉積)中執行原位蝕刻。
在前文的描述中,出於解釋的目的,已闡述了許多細節以提供對本技術的各種實施例的理解。然而,對於所屬技術領域中具有通常知識者將顯而易見的是,可在沒有這些細節中的一些細節或具有其他細節的情況下實施某些實施例。
已公開了幾個實施例,所屬技術領域中具有通常知識者將認識到,在不脫離實施例的精神的情況下,可使用各種修改、替代構造和等同物。另外,為了避免不必要地混淆本技術,沒有描述許多眾所皆知的處理和元件。因此,以上描述不應被視為限制本技術的範疇。
在提供值的範圍的情況下,應理解的是,除非上下文另外明確指出,否則亦具體揭露至下限單位的最小分數的每個中間值(其在此範圍的上限和下限之間)。涵蓋在規定範圍內的任何陳述值或未陳述中間值與規定範圍內的任何其他陳述值或中間值之間的任何較窄範圍。這些較小範圍的上限和下限可獨立地包括或排除在範圍中,且其中有一上限或一下限、或上限下限皆無、或上限下限皆有(其包含在較小範圍中)的每個範圍也都包含在本技術內,但以在規定範圍中任何特定排除的限制為準。在規定範圍包括一個或兩個上限/下限的情況下,還包括排除那些所包括的上限/下限中的一者或兩者的範圍。
如本文和所附申請專利範圍中所使用的,單數形式的「一」、「一個」和「該」包括複數引用,除非上下文另外明確指出。因此,例如,提及「一前驅物」包括複數個這樣的前驅物、提及「該層」包括提及所屬技術領域中具有通常知識者已知的一個或多個層及其等同物等等。
此外,在本說明書和以下申請專利範圍中使用的術語「包括」及「包含」旨在指定所陳述的特徵、整數,元件或操作的存在,但其不排除一個或多個其他特徵、整數、元件、操作、動作或組的存在或增加。
100:處理腔室
102:腔室主體
103:基板
104:基板支撐件
105:表面
106:蓋組件
108:第一電極
110a:隔離器
110b:隔離器
111:電漿輪廓調變器
112:氣體分配器
114:入口
120:處理空間
122:第二電極
124:第三電極
126:開口
128:第一調諧電路
130:第一電子感測器
132A:第一電感器 132B:第二電感器 134:第一電子控制器 136:第二調諧電路 138:第二電子感測器 140:第二電子控制器 142:第一電功率源 144:軸 145:箭頭 146:導管 147:軸 148:濾波器 150:第二電功率源 152:出口 200:方法 205:操作 210:操作 215:操作 220:操作 225:操作 230:操作 300:處理腔室 305:基板 310:面板 315:腔室主體 320:基板支撐件 325:支撐平臺 330:軸 340:電漿空間 345:凸耳 350:電漿 400:基板支撐件 402:基板 405:中心區域 410:凸耳 415:突片
藉由參考說明書的其餘部分和附圖,可實現對所揭露技術的性質和優勢的進一步理解。
圖1示出了根據本技術的一些實施例的示例性電漿系統的示意性橫截面視圖。
圖2示出了根據本技術的一些實施例的半導體處理方法中的操作。
圖3A示出了根據本技術的一些實施例之在示例性沉積操作期間的示例性電漿腔室的示意性橫截面視圖。
圖3B示出了根據本技術的一些實施例之在示例性蝕刻操作期間的示例性電漿腔室的示意性橫截面視圖。
圖4示出了根據本技術的一些實施例的示例性基板支撐件的示意性俯視平面圖。
包括了一些附圖作為示意圖。要理解,附圖僅用於說明目的,且除非特別說明是按比例繪製的,否則不應視為按比例繪製的。另外,作為示意圖,提供了附圖以幫助理解;且與實際表示相比,附圖可能不包括所有態樣或資訊;且出於說明目的,附圖可包括誇大的材料。
在附圖中,相似的元件及/或特徵可具有相同的元件符號。此外,可藉由在元件符號後面加上在相似元件之間進行區分的字母來區分相同類型的各種元件。若在說明書中僅使用第一元件符號,則說明書可適用於具有相同第一元件符號的類似組件中的任何一者,而與字母無關。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
345:凸耳
350:電漿

Claims (20)

  1. 一種半導體處理方法,包括以下步驟: 在經安置於經容納在一半導體處理腔室的一處理區域中的一基板支撐件上的一基板上沉積一材料,其中該處理區域至少部分地由該基板支撐件和一面板限定,及其中該基板支撐件位於該處理區域內相對於該面板的一第一位置; 將該基板支撐件平移至相對於該面板的一第二位置; 在該半導體處理腔室的該處理區域內形成一蝕刻劑前驅物的一電漿;及 蝕刻該基板的一邊緣區域。
  2. 如請求項1所述的半導體處理方法,其中該沉積步驟包括以下步驟: 將一含碳前驅物輸送到該半導體處理腔室的該處理區域, 形成該含碳前驅物的一電漿,及 在該基板上沉積一含碳材料。
  3. 如請求項2所述的半導體處理方法,其中該蝕刻劑前驅物包括一含氧前驅物。
  4. 如請求項1所述的半導體處理方法,其中在該第二位置處的該基板支撐件與該面板之間的一距離小於在該第一位置處的該基板支撐件與該面板之間的一距離。
  5. 如請求項1所述的半導體處理方法,其中當該基板支撐件在該第二位置中時,該基板之面對該面板的一表面經定位成距該面板小於5 mm或大約5 mm。
  6. 如請求項1所述的半導體處理方法,其中該基板支撐件的特徵在於:一凹陷的凸耳,其在該基板支撐件的一外邊緣處。
  7. 如請求項6所述的半導體處理方法,其中當該基板支撐件在該第二位置中時,該基板支撐件之在該凹陷的凸耳處面對該面板的一表面經定位成距該面板大於2 mm或大約2 mm。
  8. 如請求項1所述的半導體處理方法,其中形成該蝕刻劑前驅物的該電漿之步驟包括以下步驟:圍繞該基板支撐件的一邊緣區域形成一環形電漿。
  9. 如請求項8所述的半導體處理方法,其中蝕刻該基板的該邊緣區域之步驟包括以下步驟:執行一蝕刻,該蝕刻基本上限於從該基板的一外邊緣延伸小於50 mm或大約50 mm的一距離。
  10. 一種半導體處理方法,包括以下步驟: 在經安置於經容納在一半導體處理腔室的一處理區域中的一基板支撐件上的一基板上沉積一材料,其中該處理區域至少部分地由該基板支撐件和一面板限定,及其中該基板支撐件的特徵在於在該基板支撐件的一外邊緣處的一凹陷的凸耳; 將該基板支撐件升高至一位置,在該位置中該基板之面對該面板的一表面經定位成距該面板小於5 mm或大約5 mm; 在該半導體處理腔室的該處理區域內形成一蝕刻劑前驅物的一電漿;及 在基本上保持在該基板的一中心區域沉積該材料的同時來蝕刻該基板的一邊緣區域。
  11. 如請求項10所述的半導體處理方法,其中經沉積在該基板上的該材料包括一含碳的硬遮罩。
  12. 如請求項10所述的半導體處理方法,其中形成一蝕刻劑前驅物的一電漿之步驟包括以下步驟: 使一含氧前驅物流入該半導體處理腔室的該處理區域中, 形成該含氧前驅物的一電漿,及 用該含氧前驅物的電漿流出物蝕刻經沉積在該基板上的該材料。
  13. 如請求項10所述的半導體處理方法,其中升高該基板支撐件之步驟將該基板之面對該面板的該表面定位成距該面板小於2 mm或大約2 mm。
  14. 如請求項10所述的半導體處理方法,其中形成該蝕刻劑前驅物的該電漿之步驟包括以下步驟:圍繞該基板支撐件的一邊緣區域形成一環形電漿。
  15. 如請求項14所述的半導體處理方法,其中蝕刻該基板的該邊緣區域之步驟包括以下步驟:執行一蝕刻,該蝕刻基本上限於從該基板的一外邊緣延伸小於50 mm或大約50 mm的一距離。
  16. 如請求項10所述的半導體處理方法,進一步包括以下步驟: 隨後沉積該材料,降低該半導體處理腔室中的一壓力以淨化該半導體處理腔室的該處理區域。
  17. 如請求項10所述的半導體處理方法,其中該基板支撐件的特徵在於:沉積期間的一傾斜,及其中該方法進一步包括以下步驟: 調平該基板支撐件使該基板與該面板基本上平行。
  18. 一種半導體處理方法,包括以下步驟: 在一半導體處理腔室的一處理區域中形成一含碳前驅物的一電漿,其中該處理區域至少部分地由一基板支撐件和一面板限定; 在經設置於該基板支撐件上的一基板上沉積一含碳材料,其中該基板支撐件位於該處理區域內相對於該面板的一第一位置; 將該基板支撐件升高到相對於該面板的一第二位置; 在該半導體處理腔室的該處理區域內形成一含氧前驅物的一環形電漿;及 蝕刻該基板的一邊緣區域。
  19. 如請求項18所述的半導體處理方法,其中該基板支撐件包括用以將該基板保持在該基板支撐件上的定位突片。
  20. 如請求項18所述的半導體處理方法,其中該基板支撐件的特徵在於:在該基板支撐件的一外邊緣處的一凹陷的凸耳,該外邊緣是在其上佈置有該基板的一區域的徑向外側處,其中當該基板支撐件在該第二位置中時,該基板之面對該面板的一表面距該面板小於2 mm或大約2 mm,及其中當該基板支撐件在該第二位置中時,該基板支撐件之在該凹陷的凸耳處面對該面板的一表面距該面板大於2 mm或大約2 mm。
TW109142312A 2019-12-02 2020-12-02 腔室沉積及蝕刻處理 TWI780529B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/700,758 US11139168B2 (en) 2019-12-02 2019-12-02 Chamber deposition and etch process
US16/700,758 2019-12-02

Publications (2)

Publication Number Publication Date
TW202137297A TW202137297A (zh) 2021-10-01
TWI780529B true TWI780529B (zh) 2022-10-11

Family

ID=76091754

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142312A TWI780529B (zh) 2019-12-02 2020-12-02 腔室沉積及蝕刻處理

Country Status (6)

Country Link
US (1) US11139168B2 (zh)
JP (1) JP2023504673A (zh)
KR (1) KR20220107045A (zh)
CN (1) CN114930507A (zh)
TW (1) TWI780529B (zh)
WO (1) WO2021113178A1 (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200828454A (en) * 2006-08-25 2008-07-01 Lam Res Corp Low-k damage avoidance during bevel etch processing
TW201421600A (zh) * 2012-09-26 2014-06-01 應用材料股份有限公司 具有封閉迴路控制的底部和側邊電漿調節
TW201932635A (zh) * 2017-12-01 2019-08-16 美商應用材料股份有限公司 高蝕刻選擇性的非晶碳膜

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100572131B1 (ko) 2003-12-11 2006-04-18 (주)울텍 실리콘 웨이퍼의 가장자리, 측면, 하부면을 동시에식각하기 위한 플라즈마 식각장치
KR20060060997A (ko) 2004-12-01 2006-06-07 삼성전자주식회사 웨이퍼 에지 식각 장치
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
KR101342989B1 (ko) 2007-05-03 2013-12-18 (주)소슬 기판 에지 식각 장치
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US8658937B2 (en) * 2010-01-08 2014-02-25 Uvtech Systems, Inc. Method and apparatus for processing substrate edges
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US10903066B2 (en) * 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
WO2019142556A1 (ja) * 2018-01-17 2019-07-25 Sppテクノロジーズ株式会社 ワイドギャップ半導体基板、ワイドギャップ半導体基板の製造装置、およびワイドギャップ半導体基板の製造方法
JP2019140220A (ja) * 2018-02-09 2019-08-22 東芝メモリ株式会社 半導体処理装置および半導体処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200828454A (en) * 2006-08-25 2008-07-01 Lam Res Corp Low-k damage avoidance during bevel etch processing
TW201421600A (zh) * 2012-09-26 2014-06-01 應用材料股份有限公司 具有封閉迴路控制的底部和側邊電漿調節
TW201932635A (zh) * 2017-12-01 2019-08-16 美商應用材料股份有限公司 高蝕刻選擇性的非晶碳膜

Also Published As

Publication number Publication date
US20210166942A1 (en) 2021-06-03
US11139168B2 (en) 2021-10-05
JP2023504673A (ja) 2023-02-06
WO2021113178A1 (en) 2021-06-10
KR20220107045A (ko) 2022-08-01
TW202137297A (zh) 2021-10-01
CN114930507A (zh) 2022-08-19

Similar Documents

Publication Publication Date Title
JP6050944B2 (ja) プラズマエッチング方法及びプラズマ処理装置
CN116235278A (zh) 在处理腔室中使用双频率rf功率的方法
TWI780529B (zh) 腔室沉積及蝕刻處理
US11640905B2 (en) Plasma enhanced deposition of silicon-containing films at low temperature
KR20230172573A (ko) 무-헬륨 실리콘 형성
US20220020589A1 (en) Dielectric coating for deposition chamber
US20220122811A1 (en) Electric arc mitigating faceplate
TWI797833B (zh) 用於使用電容耦合電漿的氧化矽間隙填充的沉積方法
US11935751B2 (en) Boron nitride for mask patterning
US20230360924A1 (en) Low temperature carbon gapfill
US20210134592A1 (en) Surface encasing material layer
TWI789069B (zh) 由電極調整進行硬遮罩調諧
KR101878665B1 (ko) 기판 처리 방법
KR20240011785A (ko) 금속 도핑된 탄소 하드마스크들
TW202407758A (zh) 使用體積膨脹的大面積間隙填充
CN115087759A (zh) 用于碳化合物膜沉积的方法和设备
KR20240056650A (ko) 3d nand를 위한 게르마늄 및 실리콘 스택들
JP2024519841A (ja) 端面不均一性チューニングのための低インピーダンス電流経路

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent