KR101892310B1 - 베벨 보호 필름을 퇴적하는 방법들 - Google Patents

베벨 보호 필름을 퇴적하는 방법들 Download PDF

Info

Publication number
KR101892310B1
KR101892310B1 KR1020137009814A KR20137009814A KR101892310B1 KR 101892310 B1 KR101892310 B1 KR 101892310B1 KR 1020137009814 A KR1020137009814 A KR 1020137009814A KR 20137009814 A KR20137009814 A KR 20137009814A KR 101892310 B1 KR101892310 B1 KR 101892310B1
Authority
KR
South Korea
Prior art keywords
plasma
wafer
film
localized
electrode
Prior art date
Application number
KR1020137009814A
Other languages
English (en)
Other versions
KR20130136992A (ko
Inventor
능호 신
패트릭 정
윤상 김
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130136992A publication Critical patent/KR20130136992A/ko
Application granted granted Critical
Publication of KR101892310B1 publication Critical patent/KR101892310B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32376Scanning across large workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 플라즈마 챔버에서 웨이퍼의 베벨 에지를 보호하기 위해 로컬화된 플라즈마를 이용한 필름 퇴적 방법에 관한 것이다. 이 방법은 웨이퍼가 가동 전극 및 정지 전극 중 하나 상에 배치된 상태로, 가동 전극과 정지 전극 간의 전극 갭을 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 갭 거리로 조정하는 단계를 포함하며, 갭 거리는 또한, 상기 조정하는 단계 이후에 웨이퍼의 베벨 에지 주위의 플라즈마-지속가능 컨디션이 형성되도록 디멘져닝된다. 이 방법은 또한 퇴적 가스를 플라즈마 챔버로 유동시키는 단계를 포함한다. 이 방법은 히터를 이용하여, 베벨 에지 상에의 필름 퇴적을 용이하게 하도록 구성되는 척 온도를 히터를 유지하는 단계를 포함한다. 이 방법은 베벨 에지 상에 필름을 퇴적하기 위해 퇴적 가스로부터 로컬화된 플라즈마를 발생시키는 단계를 더 포함한다.

Description

베벨 보호 필름을 퇴적하는 방법들{METHODS FOR DEPOSITING BEVEL PROTECTIVE FILM}
본 발명은 베벨 보호 필름을 퇴적하는 방법들에 관한 것이다.
반도체 제품들의 제조 시에, 기판들 (예를 들어, 반도체 웨이퍼들) 은 반도체 디바이스들을 생성하기 위해 다양한 층들을 연속하여 퇴적, 에칭, 및 연마 (polishing) 함으로써 프로세싱된다. 보다 구체적으로, 이들 프로세싱 단계들에서는 플라즈마-강화된 에칭 및 웨이퍼 접합 (wafer bonding) 이 종종 이용되어 왔다.
그러나, 에칭 프로세스들은 기판 에지 또는 베벨을 부식시키기 쉽고, 웨이퍼 접합 프로세스들은 접합 재료들을 노출시키는 동안 웨이퍼들의 에지 또는 베벨에 네거티브 슬로프 (negative slope) 들을 생기게 하기 쉽다. 도 1a 및 도 1b, 그리고 도 2a 및 도 2b 는 비아 에칭 및 웨이퍼 접합에서의 이들 문제점들의 예들을 도시한다.
도 1a 및 도 1b 는 비아 에칭 프로세스에서 기판 에지 또는 베벨을 부식시키는 에칭 프로세스들의 문제점을 보여준다. 도 1a 는 에칭 이전의 기판 (100) 및 기판 에지 구역 (102) 을 도시한다. 도 1a 에서, 마스크 (106) 는 에칭 이후에 기판 (100) 상에 남아 있다. 두께 (110) 는 에칭 이전의 기판의 원래 두께를 나타낸다.
도 1b 는 에칭 이후의 기판 에지 구역 (112) 을 도시한다. 도 1b 에서, 영역 (114) 은, 기판 에지 또는 베벨이 에칭-후 블랙 실리콘으로 바뀌는 영역을 나타낸다. 블랙 실리콘은 에천트에 의해 부식된 원래 기판의 거친 부분이다. 도 1b 의 두께 (120) 는 실질적으로 웨이퍼의 원래 두께 미만이어서, 실질적으로 베벨 붕괴 (collapse) 의 가능성을 증가시킨다. 더욱이, 블랙 실리콘의 영역 (114) 은 장차의 프로세싱 단계들을 오염시킬 수도 있는 오염물을 트랩핑할 수 있다.
에칭 또는 다른 타입의 재료 제거 또는 펀치스루 (punch-through) 프로세스들에서의 상기 설명된 베벨 붕괴 문제점에 대해 다루기 위해, 기판 에지 또는 베벨에의 두꺼운 보호 필름들 또는 에칭방지 (anti-etching) 희생 필름들이 기판 베벨 붕괴를 최소화하는데 사용된다. 웨이퍼 베벨 보호의 다른 접근법은, 웨이퍼의 베벨 영역의 위에 또는 웨이퍼의 약간 상방에 배치되는, 섀도우 링 (shadow ring) 으로 알려져 있는 프로세스 키트를 이용한다. 그러나, 섀도우 링은 종종 틸팅 및 입자 문제들을 도입한다. 따라서, 이 프로세스는 필름을 기판 에지에 정의하기 위해 많은 스테이지들을 요구한다. 이것은, 기판 에지 또는 베벨에의 필름 퇴적이 개별 특수 장비들을 요구하는 경우에 특히 문제가 된다.
도 2a 및 도 2b 는 에지 또는 베벨 근방의 웨이퍼 접합에서의 문제점의 일 예를 예시한다. 도 2a 는 하부 웨이퍼 (202), 상부 웨이퍼 (204), 및 접합 재료 (206) 를 도시한다. 접합 재료는 통상 일부 타입의 유기 재료이다. 일반적으로, 화학적 기계적 연마 (CMP) 는 웨이퍼 접합 프로세스 이후에 수행된다. 도 2b 는 CMP-후 접합된 웨이퍼들을 도시한다. 구체적으로, 구역 (220) 은, 접합 재료가 웨이퍼들의 에지 또는 베벨에서 노출된다는 것을 도시한다. 접합 재료를 노출시킨 것은 이면 결함들 및 다른 예측불가능한 효과를 야기할 수 있다. 더욱이, 구역 (220) 은 웨이퍼들의 에지 또는 베벨 근방의 네거티브 슬로프를 도시한다. 다양한 이유들로, 반도체 제조자들은 웨이퍼의 에지 근방의 포지티브 슬로프 지오메트리들을 선호할 수도 있다. 네거티브 슬로프 및 노출된 접합 재료는 언더컷 문제들 또는 적층분리 (delamination) 문제들과 같은 다른 문제들을 제시할 수도 있다.
베벨 에지의 네거티브 슬로프 문제점에 대해 다루기 위해, CMP 가 에지 또는 베벨을 다시 포지티브 슬로프로 형상화하는데 이용될 수도 있다. 그러나, 이 해결책은 비용이 많이 들고, 노출된 접합 재료의 문제점을 해결하지 않는다.
본 발명은 첨부 도면들의 도에서 제한이 아닌 예로서 예시되며, 여기서 동일한 참조 부호들은 유사한 엘리먼트들을 나타낸다.
도 1a 는 비아 에칭 이전의 기판 에지의 개략도이다.
도 1b 는 비아 에칭 후 기판 에지의 개략도이다.
도 2a 는 웨이퍼 접합 후 그리고 CMP 이전의 기판 에지들의 개략도이다.
도 2b 는 웨이퍼 접합 후 그리고 CMP-후 기판 에지들의 개략도이다.
도 3a 는, 본 발명의 일 실시형태에 따라, 기판 에지에의 로컬화된 필름 퇴적을 이용한 비아 에칭 이전의 기판 에지의 개략도를 도시한다.
도 3b 는, 본 발명의 일 실시형태에 따라, 기판 에지에의 로컬화된 필름 퇴적을 이용한 비아 에칭 후 기판 에지의 개략도를 도시한다.
도 4 는, 본 발명의 일 실시형태에 따라, 기판 에지에의 로컬화된 필름 퇴적을 이용한 웨이퍼 접합 후 그리고 CMP-후 기판 에지의 개략도를 도시한다.
도 5a 는, 본 발명의 일 실시형태에 따라, 베벨 에지에 보호 필름을 퇴적하기 위한 일반 머신을 도시한다.
도 5b 는, 본 발명의 일 실시형태에 따라, 도 5a 에 예시된 세라믹 부분의 확대도를 도시한다.
도 6 은, 본 발명의 일 실시형태에 따라, 베벨 에지에 보호 필름을 생성하기 위한 방법 단계들을 도시한다.
도 7 은, 본 발명의 일 실시형태에 따라, 도 5a 의 일반 머신 플러스 베벨 에지에 인시츄 세정하기 위한 유도 안테나들을 도시한다.
도 8 은, 본 발명의 일 실시형태에 따라, 로컬화된 필름 퇴적 후 인시츄 유도 세정하기 위한 방법 단계들을 도시한다.
이제 본 발명은 첨부 도면들에 예시한 바와 같이 본 발명의 몇몇 실시형태들을 참조하여 상세하게 설명될 것이다. 다음의 설명에서, 다수의 특정 상세가 본 발명의 완전한 이해를 제공하기 위하여 설명된다. 그러나, 당업자에게는, 본 발명이 이들 특정 상세의 일부 또는 전부 없이 실시될 수도 있다는 것이 명백할 것이다. 다른 경우에, 널리 알려져 있는 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않기 위하여 상세하게 설명되어 있지 않다.
본 발명은 적어도, 비아 에칭 및 웨이퍼 접합에서 직면하게 되는 문제점들에 대해 다룬다. 본 발명은, 일 실시형태에서, 플라즈마 챔버에서 웨이퍼 또는 웨이퍼들의 베벨 에지를 보호하기 위해 로컬화된 플라즈마를 이용한 필름 퇴적 (deposition) 방법에 관한 것이다. 이 방법은 웨이퍼가 가동 (movable) 전극과 정지 전극 사이에 배치된 상태로, 가동 전극과 정지 전극 간의 전극 갭을 조정하는 단계를 포함한다. 웨이퍼의 베벨 에지 주위의 플라즈마-지속가능 컨디션이 여전히 형성될 수도 있는 동안, 전극 갭은 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 갭 거리로 조정된다. 이 방법은 또한 퇴적 가스 (deposition gas) 를 플라즈마 챔버로 유동시키는 단계를 포함한다. 이 방법은 베벨 에지 상에의 필름 퇴적을 용이하게 하도록 구성되는 척 온도를 히터를 이용하여 유지하는 단계를 더 포함한다. 이 방법은 또한 베벨 에지 상에 필름을 퇴적하기 위해 퇴적 가스로부터 로컬화된 플라즈마를 발생시키는 단계를 포함한다.
본 발명은, 일 실시형태에서, 웨이퍼 또는 웨이퍼들의 베벨 에지를 보호하기 위해 로컬화된 플라즈마를 이용한 필름 퇴적 방법에 관한 것이다. 이 방법은, 퇴적 가스의 플라즈마 챔버로의 유동 (flow) 을 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 압력으로 조정하는 단계를 포함하며, 이 압력은 또한, 그 조정하는 단계 이후에 웨이퍼의 베벨 에지 주위의 플라즈마-지속가능 컨디션이 형성되도록 조정된다. 이 방법은 또한 베벨 에지 상에의 필름 퇴적을 용이하게 하도록 구성되는 척 온도를 히터를 이용하여 유지하는 단계를 포함한다. 이 방법은 베벨 에지 상에 필름을 퇴적하기 위해 퇴적 가스로부터 로컬화된 플라즈마를 발생시키는 단계를 더 포함한다.
본 발명은, 일 실시형태에서, 웨이퍼 또는 웨이퍼들의 베벨 에지를 보호하기 위해 로컬화된 플라즈마를 이용한 필름 퇴적 방법에 관한 것이다. 이 방법은 웨이퍼가 가동 전극과 정지 전극 사이에 배치된 상태로, 가동 전극과 정지 전극 간의 전극 갭을 조정하는 단계를 포함한다. 웨이퍼의 베벨 에지 주위의 플라즈마-지속가능 컨디션이 여전히 형성될 수도 있고 또는 형성되는 동안, 전극 갭은 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 갭 거리로 조정된다. 이 방법은 또한, 웨이퍼의 베벨 에지 주위의 플라즈마-지속가능 컨디션이 여전히 형성될 수도 있고 또는 형성되는 동안, 퇴적 가스의 플라즈마 챔버로의 유동을 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 압력으로 조정하는 단계를 포함한다. 이 방법은 베벨 에지 상에의 필름 퇴적을 용이하게 하도록 구성되는 척 온도를 히터를 이용하여 유지하는 단계를 더 포함한다. 이 방법은 또한 베벨 에지 상에 필름을 퇴적하기 위해 퇴적 가스로부터 로컬화된 플라즈마를 발생시키는 단계를 포함한다.
도 3a 는 에칭 이전의 웨이퍼 (300) 에 적용되는, 도 1a 및 도 1b 에서 시사된 비아 에칭 문제점에 대한 제안된 해결책을 예시한다. 기판 에지 구역 (302) 이 또한 도시되어 있다. 하드 마스크 (306) 는 웨이퍼의 중심 영역 상에 남아 있는 한편, 등각의 보호 필름 (308) 이 베벨 에지를 보호하기 위해 베벨 에지 상에 퇴적된다.
도 3b 는 에칭 이후의 웨이퍼 (300) 에 적용되는, 도 1a 및 도 1b 에서 시사된 비아 에칭 문제점에 대한 제안된 해결책을 예시한다. 여기서, 하드 마스크 (306) 는 남아 있다. 베벨 에지 구역 (312) 에서 바로 아래의 기판을 보호하는 보호 필름 (308) 은 약간 마모된다. 이것은 베벨 에지 상에의 블랙 실리콘 형성의 문제점을 해결하여, 분리 (break off) 또는 오염물 트랩핑의 가능성을 제한한다. 도 3a 와 도 3b 간의 비교는, 에칭 이전의 기판 에지의 두께 (318) 가 에칭 후 기판 에지의 두께 (320) 와 대략 동일하게 유지되는 것을 보여줄 것이다.
도 4 는 도 2a 및 도 2b 에서 제시된 웨이퍼 접합 문제점에 대한 제안된 솔루션을 예시한다. 도 4 는 화학적 기계적 연마 (CMP) 프로세스 이후의 접합된 웨이퍼들 (400) 을 도시한다. 하부 웨이퍼 (402) 는 상부 웨이퍼 (414) 와 접합 재료 (406) 에 의해 접합된다. 상부 웨이퍼 (414) 는 CMP 에 의해 연삭 (grind down) 된다. 이 상황에서, 대부분의 반도체 제조자들은 접합된 웨이퍼들의 에지 상에 포지티브 슬로프를 생성하면서 접합 재료를 보호하길 원한다. 도 4 는, 베벨 에지 구역 (420) 에 퇴적되는 로컬화된 등각 필름 (418) 이 접합된 웨이퍼들의 에지 상의 원하는 효과를 야기할 수도 있는 방법을 보여준다.
도 5a 는 웨이퍼의 베벨 에지에 보호 필름을 퇴적하기 위한 일반화된 장치를 예시한다. 도전적인 베벨 에지 토폴로지 상에 정확한 등각 필름을 퇴적할 필요성 및 어느 다른 곳에 퇴적하는 것을 억제할 필요성으로 인해, 특수 장비가 필요하다. 도 5a 의 장치는 용량성 커플링된 챔버 (500) 를 포함한다. 가스 유동 제어 (gas flow control) (504) 는 웨이퍼 (502) 의 에지 영역에 가스 또는 플라즈마를 공급한다. 가스 유동 제어 (506) 는 웨이퍼 (502) 의 중심 영역에 가스 또는 플라즈마를 공급한다. 가스 유동은 액화 가스 전달 (liquid gas delivery) 또는 가스상 전달 (gas phase delivery) 을 통하여 공급될 수도 있다. 2 개의 가스 유동 제어들 (504 및 506) 은 개별적으로 또는 함께 웨이퍼의 에지부와 비교하여 웨이퍼의 중심부에 대한 차압을 변화시킬 수도 있다. 챔버로부터의 배기가스는 배기 펌프 (508) 를 통하여 빠져나갈 수도 있다.
갭 (510) 은 세라믹 커버 (512) 와 웨이퍼 (502) 사이의 거리를 나타낸다. 본 발명에서, 갭 (510) 은, 그 갭이 플라즈마 형성에 불충분하도록 제어된다. 예를 들어, 1mm 보다 크지 않은 갭은 일부 경우들에서 플라즈마 형성을 지속시킬 수 없다. 갭 그 자체는 각 챔버의 상세에 기초하여 경험적으로 결정될 수도 있다. 가스 유동 제어들 (504 및 506) 에 의해 공급된 가스는 히터/척 (524) 을 전력공급하는 RF 소스 (520) 에 의해 플라즈마로 바뀌게 된다. 탑 전극 (526) 은 세라믹 커버 (512) 상방에 배치된다. 또한, 도 5a 는 웨이퍼 (502) 가 히터/척 (524) 상방에 배치되는 것을 도시한다.
챔버의 에지 상에는 접지된 상부 연장된 전극 (536) 및 접지된 하부 연장된 전극 (538) 이 있다. 라이너 (540) 는 하부 연장된 전극 (538) 을 퇴적에 대해 보호하게 돕는다. 라이너 (540) 는 퇴적 프로세스와 양립가능한 적합한 재료로 형성될 수도 있다. RF 전류는 히터/척 (524) 으로부터 상부 연장된 전극 (536) 과 하부 연장된 전극 (538) 양자를 통하여, 챔버 벽을 통하여, 유동하고, 다시 RF 소스 (520) 로 리턴할 수도 있다. 사이즈-제어가능한 세라믹 부분 (550) 이 히터/척 (524) 다음에 배치된다.
도 5b 는 사이즈 제어가능한 세라믹 부분 (550) 의 확대도를 예시한다. 세라믹 부분 (550) 의 사이즈는 거의 웨이퍼 (502) 의 하위 에지를 퇴적을 목적으로 플라즈마에 노출시키기 위해 조정될 수도 있다. 도 5b 는 세라믹 부분 (550) 및 세라믹 커버 (512) 를 예시한다. 반도체 제조자가 웨이퍼 (502) 에지의 뒷면에 더 많이 퇴적하길 원한다면, 세라믹 부분 (550) 의 사이즈가 조정될 수도 있다. 예를 들어, 더 작은 외경의 세라믹 부분 (550) 은 더 많은 퇴적이 베벨 에지의 밑면에 도달하는 것을 허용할 수도 있다. 세라믹 커버 (512) 의 직경은 또한 웨이퍼의 상면 상의 베벨 에지 필름 퇴적의 폭을 결정하기 위해 조정될 수도 있다. 예를 들어, 더 작은 외경의 세라믹 커버 (512) 는 베벨의 상부 외측 에지 상에 더 많은 퇴적을 허용할 수도 있다.
세라믹 커버 (512) 및 탑 전극 (526) 은 갭 제어를 허용하기 위하여 로봇 아암, 벨로우즈, 벨트 또는 다른 방법들을 이용하여 (예를 들어, 위아래로) 이동가능하다. 이 메커니즘은 갭 제어기 회로에 의해 제어된다. 갭 제어기는 챔버의 탑 전극과 웨이퍼 사이에의 플라즈마 형성을 제어하도록 기능한다. 갭 제어기는 또한 플라즈마 챔버로의 그리고 플라즈마 챔버로부터의 웨이퍼의 삽입 및 제거를 돕는다. 갭을 제어하기 위한 실제 기계적 움직임은 기계적 액추에이터에 의해, 또는 벨로우즈에 의해, 또는 벨트형 기어에 의해, 또는 등등에 의해 달성될 수도 있다.
도 6 은, 본 발명의 일 실시형태에 따라, 베벨 에지에 보호 필름을 생성하기 위한 방법 단계들을 도시한다. 제 1 단계 652 에서, 실리콘 웨이퍼가 로봇 아암 메커니즘을 통해 챔버 내에 배치된다. 단계 654 에서, 상부 세라믹 커버와 웨이퍼 사이의 갭이, 플라즈마가 웨이퍼의 중심부 위에 형성되지 않는 것을 보장하기 위해 플라즈마-억제 (plasma-inhibiting) 갭 거리로 조정된다. 본 발명의 일 실시형태에서, 웨이퍼의 중심부는 에칭된 피처들을 가진 웨이퍼의 부분이며, 또는 에칭된 피처들을 갖는 것으로 의도된 부분이다. 본 발명의 다른 실시형태에서, 웨이퍼의 중심부는 실질적으로 평탄한 웨이퍼의 부분이다.
단계 656 에서, 액체 또는 가스상 퇴적 가스가 플라즈마 챔버로 유동되어, 챔버의 중심부와 챔버의 에지부 사이에 차압이 생긴다. 본 발명의 일 실시형태에서, 차압은 플라즈마 챔버의 중심 및 에지부 내로의 다수의 가스 인렛들에 의해 제어된다. 본 발명의 일 실시형태에서, 차압 및 특정된 전극 갭은 웨이퍼의 중심부와 상부 전극 사이의 구역이 아닌, 웨이퍼의 에지 구역 근방에 플라즈마를 지속시킬 수도 있다. 정확한 차압 및 전극 갭이 테스팅을 통해 미리 결정될 수도 있고, 또는 플라즈마가 웨이퍼의 중심부 위에 형성되는지 여부를 검출가능한 피드백 제어 시스템에 의해 실시간으로 결정될 수도 있다. 이용되는 퇴적 가스는 유전체 필름, 도체 필름, 유기 필름, 또는 반도체 산업에서 사용되는 임의의 다른 필름에 대한 전구체일 수도 있다.
단계 658 에서, 히터 및/또는 척에 대한 RF-전력이 턴 온된다. 이 스테이지에서, 필름 퇴적 플라즈마가 웨이퍼의 베벨 에지 상에 형성되어야 한다. 히터 온도는 예를 들어 접착 응력 (adhesion stress) 을 최소화하기 위해 제어된다. 단계 660 에서, RF 전력 및 가스 유동이 턴 오프된다. 단계 662 에서, 웨이퍼 상방의 전극 갭이 웨이퍼 제거를 용이하게 하기 위해 확대된다. 단계 664 에서, 웨이퍼가 로봇 아암 메커니즘에 의해 제거된다.
도 7 은, 본 발명의 일 실시형태에 따라, 도 5a 의 일반화된 구현 플러스 베벨 에지에 인시츄 세정하기 위한 유도 안테나들을 도시한다. 인시츄 세정을 수행하는 능력은 출력을 개선하는데 있어서 중요한 이점이다. 갭 (710) 은 세정을 최적화하기 위해 조정될 수도 있다. 로컬화된 플라즈마가 코일 (724) 을 에너자이징하는 RF 소스 (722) 에 의해 생성될 수도 있다. 일 실시형태에서, 전극 (726) 은 알루미늄으로 형성된다. 접지된 상부 및 하부 연장된 전극들 (736 및 738) 은 통상 하나 이상의 실시형태들에서도 알루미늄으로 제조된다. 다른 재료들이 또한 다양한 전극들용으로 사용될 수도 있다.
도 8 은, 본 발명의 일 실시형태에 따라, 로컬화된 필름 퇴적 후 인시츄 유도 세정하기 위한 방법 단계들을 도시한다. 실질적으로 용량성 챔버 내의 (고밀도 세정 플라즈마를 생성하는) 인시츄 유도 세정의 조합은 많은 이점들을 제공한다. 제 1 단계 852 에서, 플라즈마 챔버 내의 웨이퍼 상방의 전극 갭이 웨이퍼 제거를 위한 공간을 제공하기 위해 조정된다. 단계 854 에서, 전극 갭이, 얼마나 많은 세정 플라즈마가 플라즈마 챔버의 중심부를 침입할 것인지를 통제하기 위해 다시 갭 거리로 조정된다. 단계 856 에서, 에천트 세정 가스가 플라즈마 챔버로 유동한다. 단계 858 에서, TCP (Transformer-Coupled Plasma) 인덕터 코일들이 세정 플라즈마를 형성 및 이용하여 플라즈마 챔버를 세정하기 위해 세정 플라즈마를 허용하도록 소정의 기간 동안 파워 온된다. 단계 860 에서, 에천트 세정 가스가 배기하도록 허용된다.
본 발명의 이점들은 에칭 프로세스 동안 기판 에지들 상에 블랙 실리콘의 형성 및 베벨 붕괴의 방지를 포함한다. 추가적인 이점들은 웨이퍼 접합 프로세스 후 접합 재료들을 실링 오프 (sealing off) 한다. 이 등각 에지 필름 퇴적 프로세스는 또한 사용자들이 2 개의 접합된 웨이퍼들의 에지들 상에 포지티브 슬로프를 생성하는 것을 허용한다.
예시적인 실시형태들 및 최적의 모드를 개시하였지만, 다음의 청구항들에 의해 정의한 바와 같이 본 발명의 주제 및 정신 내에 유지하면서 상기 개시된 실시형태들에 변경들 및 변화들이 행해질 수도 있다.
500 : 용량성 커플링된 챔버
502 : 웨이퍼
504, 506 : 가스 유동 제어
508 : 배기 펌프
510 : 갭
512 : 세라믹 커버
520 : RF 소스
524 : 히터/척
526 : 탑 전극
536 : 상부 연장된 전극
538 : 하부 연장된 전극
540 : 라이너
550 : 세라믹 부분

Claims (19)

  1. 플라즈마 챔버의 척 위에 배치된 웨이퍼의 베벨 에지를 보호하기 위해 로컬화된 플라즈마를 이용한 필름 퇴적 (deposition) 방법으로서,
    상기 웨이퍼가 가동 (movable) 전극 및 정지 전극 중 하나의 전극 상에 배치된 상태로, 상기 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 갭 거리로 상기 가동 전극과 상기 정지 전극 간의 전극 갭을 조정하는 단계로서, 상기 갭 거리는 또한, 상기 조정하는 단계 이후에 상기 웨이퍼의 상기 베벨 에지 주위의 플라즈마-지속가능 컨디션이 형성되도록 디멘져닝된 (dimensioned), 상기 전극 갭을 조정하는 단계;
    퇴적 가스를 상기 플라즈마 챔버로 유동시키는 (flow) 단계;
    히터를 이용하여, 상기 베벨 에지 상에의 필름 퇴적을 용이하게 하도록 구성되는 척 온도를 유지하는 단계; 및
    상기 베벨 에지 상에 필름을 퇴적하기 위해 상기 퇴적 가스로부터 상기 로컬화된 플라즈마를 발생시키는 단계를 포함하고,
    상기 베벨 에지 상에 상기 필름을 퇴적하는 것은 상기 척의 옆에 (next to) 배치된 사이즈-제어가능한 세라믹 부분과 상기 플라즈마 챔버의 에지 상에 배치된 하부 연장된 전극과 상부 연장된 전극에 의해 둘러싸인 플라즈마 발생 영역을 형성하는 단계와, 상기 플라즈마 발생 영역 내에 상기 로컬화된 플라즈마를 생성하는 단계에 의해 수행되는, 필름 퇴적 방법.
  2. 제 1 항에 있어서,
    상기 갭 거리는 피드백 제어 시스템에 기초하여 조정되며, 상기 피드백 제어 시스템은, 플라즈마가 상기 웨이퍼의 상기 중심부 위에 형성되는지 여부를 검출하도록 구성된, 필름 퇴적 방법.
  3. 제 1 항에 있어서,
    상기 중심부는, 피처들이 에칭되는 상기 웨이퍼 상의 영역과 실질적으로 동일한, 필름 퇴적 방법.
  4. 제 1 항에 있어서,
    상기 중심부는, 상기 웨이퍼의 표면이 실질적으로 평탄한 상기 웨이퍼 상의 영역과 실질적으로 동일한, 필름 퇴적 방법.
  5. 제 1 항에 있어서,
    상기 플라즈마 챔버는 로컬화된 퇴적은 물론 로컬화된 에칭을 수행하도록 구성되는, 필름 퇴적 방법.
  6. 제 1 항에 있어서,
    상기 퇴적하는 것이 완료된 후 유도 세정 메커니즘을 이용하여 상기 플라즈마 챔버를 세정하는 단계를 더 포함하는, 필름 퇴적 방법.
  7. 제 1 항에 있어서,
    접착 응력을 최소화하기 위해 상기 히터의 온도를 조정하는 단계를 더 포함하는, 필름 퇴적 방법.
  8. 제 1 항에 있어서,
    상기 전극 갭을 조정하는 단계는 기계적 액추에이터에 의해 또는 벨로우즈에 의해 또는 벨트형 기어에 의해 행해지는, 필름 퇴적 방법.
  9. 제 1 항에 있어서,
    상기 필름은 유전체 필름, 도체 필름 또는 유기 필름 중 하나에서 선택되는, 필름 퇴적 방법.
  10. 플라즈마 챔버의 척 위에 배치된 웨이퍼의 베벨 에지를 보호하기 위해 로컬화된 플라즈마를 이용한 필름 퇴적 방법으로서,
    상기 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 압력으로 퇴적 가스의 상기 플라즈마 챔버로의 유동 (flow) 을 조정하는 단계로서, 상기 압력은 또한, 상기 조정하는 단계 이후에 상기 웨이퍼의 상기 베벨 에지 주위의 플라즈마-지속가능 컨디션이 형성되도록 조정된, 상기 유동을 조정하는 단계;
    히터를 이용하여, 상기 베벨 에지 상에의 필름 퇴적을 용이하게 하도록 구성되는 척 온도를 유지하는 단계; 및
    상기 베벨 에지 상에 필름을 퇴적하기 위해 상기 퇴적 가스로부터 상기 로컬화된 플라즈마를 발생시키는 단계를 포함하고,
    상기 베벨 에지 상에 상기 필름을 퇴적하는 것은 상기 척의 옆에 (next to) 배치된 사이즈-제어가능한 세라믹 부분과 상기 플라즈마 챔버의 에지 상에 배치된 하부 연장된 전극과 상부 연장된 전극에 의해 둘러싸인 플라즈마 발생 영역을 형성하는 단계와, 상기 플라즈마 발생 영역 내에 상기 로컬화된 플라즈마를 생성하는 단계에 의해 수행되는, 필름 퇴적 방법.
  11. 제 10 항에 있어서,
    상기 플라즈마 챔버는 로컬화된 퇴적은 물론 로컬화된 에칭을 수행하도록 구성되는, 필름 퇴적 방법.
  12. 제 10 항에 있어서,
    유도 세정 메커니즘을 이용하여 상기 플라즈마 챔버를 세정하는 단계를 더 포함하는, 필름 퇴적 방법.
  13. 제 10 항에 있어서,
    접착 응력을 최소화하기 위해 상기 히터의 온도를 조정하는 단계를 더 포함하는, 필름 퇴적 방법.
  14. 제 10 항에 있어서,
    상기 필름은, 유전체 필름, 도체 필름 또는 유기 필름 중 하나에서 선택되는, 필름 퇴적 방법.
  15. 플라즈마 챔버에서, 상기 플라즈마 챔버의 척 위에 배치된 웨이퍼의 베벨 에지를 보호하기 위해 로컬화된 플라즈마를 이용한 필름 퇴적 방법으로서,
    상기 웨이퍼가 가동 전극 및 정지 전극 중 하나의 전극 상에 배치된 상태로, 상기 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 갭 거리로 상기 가동 전극과 상기 정지 전극 간의 전극 갭을 조정하는 단계;
    상기 웨이퍼의 중심부 위에의 플라즈마 형성을 방지하도록 구성된 압력으로 퇴적 가스의 상기 플라즈마 챔버로의 유동을 조정하는 단계로서, 상기 갭 거리 및 상기 압력은 또한, 상기 전극 갭을 조정하는 단계 및 상기 유동을 조정하는 단계 이후에 상기 웨이퍼의 상기 베벨 에지 주위의 플라즈마-지속가능 컨디션이 형성되도록 조정된, 상기 유동을 조정하는 단계;
    히터를 이용하여, 상기 베벨 에지 상에의 필름 퇴적을 용이하게 하도록 구성되는 척 온도를 유지하는 단계; 및
    상기 베벨 에지 상에 필름을 퇴적하기 위해 상기 퇴적 가스로부터 상기 로컬화된 플라즈마를 발생시키는 단계를 포함하고,
    상기 베벨 에지 상에 상기 필름을 퇴적하는 것은 상기 척의 옆에 (next to) 배치된 사이즈-제어가능한 세라믹 부분과 상기 플라즈마 챔버의 에지 상에 배치된 하부 연장된 전극과 상부 연장된 전극에 의해 둘러싸인 플라즈마 발생 영역을 형성하는 단계와, 상기 플라즈마 발생 영역 내에 상기 로컬화된 플라즈마를 생성하는 단계에 의해 수행되는, 필름 퇴적 방법.
  16. 제 15 항에 있어서,
    상기 플라즈마 챔버는 로컬화된 퇴적은 물론 로컬화된 에칭을 수행하도록 구성되는, 필름 퇴적 방법.
  17. 제 15 항에 있어서,
    유도 세정 메커니즘을 이용하여 상기 플라즈마 챔버를 세정하는 단계를 더 포함하는, 필름 퇴적 방법.
  18. 제 15 항에 있어서,
    접착 응력을 최소화하도록 구성된, 상기 히터의 온도를 조정하는 단계를 더 포함하는, 필름 퇴적 방법.
  19. 제 15 항에 있어서,
    상기 전극 갭을 조정하는 단계는 기계적 액추에이터에 의해 또는 벨로우즈에 의해 또는 벨트형 기어에 의해 행해지는, 필름 퇴적 방법.
KR1020137009814A 2010-10-19 2011-10-19 베벨 보호 필름을 퇴적하는 방법들 KR101892310B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/907,149 2010-10-19
US12/907,149 US8501283B2 (en) 2010-10-19 2010-10-19 Methods for depositing bevel protective film
PCT/US2011/056849 WO2012054577A2 (en) 2010-10-19 2011-10-19 Methods for depositing bevel protective film

Publications (2)

Publication Number Publication Date
KR20130136992A KR20130136992A (ko) 2013-12-13
KR101892310B1 true KR101892310B1 (ko) 2018-08-27

Family

ID=45934522

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137009814A KR101892310B1 (ko) 2010-10-19 2011-10-19 베벨 보호 필름을 퇴적하는 방법들

Country Status (7)

Country Link
US (2) US8501283B2 (ko)
JP (1) JP5982383B2 (ko)
KR (1) KR101892310B1 (ko)
CN (1) CN103460347B (ko)
SG (1) SG189223A1 (ko)
TW (1) TWI555070B (ko)
WO (1) WO2012054577A2 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8603908B2 (en) * 2011-05-06 2013-12-10 Lam Research Corporation Mitigation of silicide formation on wafer bevel
FR3003395B1 (fr) * 2013-03-15 2015-05-29 Commissariat Energie Atomique Procede et realisation d'un substrat muni d'une protection de bord
KR102295029B1 (ko) 2015-03-31 2021-08-27 삼성전자주식회사 반도체 소자의 제조방법
US9741684B2 (en) 2015-08-17 2017-08-22 International Business Machines Corporation Wafer bonding edge protection using double patterning with edge exposure
JP6552404B2 (ja) * 2015-12-17 2019-07-31 東京エレクトロン株式会社 基板処理方法、基板処理システム、基板処理装置、及び基板処理プログラムを記憶したコンピュータ読み取り可能な記憶媒体
US10892404B1 (en) * 2019-07-09 2021-01-12 International Business Machines Corporation Sacrificial buffer layer for metal removal at a bevel edge of a substrate
GB202001781D0 (en) 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
CN113764328A (zh) * 2020-06-02 2021-12-07 拓荆科技股份有限公司 用于加工晶圆的装置及方法
TWI766595B (zh) * 2021-02-25 2022-06-01 聯華電子股份有限公司 鍵合兩半導體結構的方法
US11830824B2 (en) * 2021-03-26 2023-11-28 Applied Materials, Inc. Edge protection on semiconductor substrates

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001099159A2 (en) 2000-06-20 2001-12-27 Infineon Technologies North America Corp. Reduction of black silicon in deep trench etch
US20100248463A1 (en) 2009-03-31 2010-09-30 Tobias Letz Enhancing adhesion of interlayer dielectric materials of semiconductor devices by suppressing silicide formation at the substrate edge

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283500A (ja) * 1993-03-30 1994-10-07 Hitachi Ltd 半導体製造装置および半導体装置
US6066570A (en) * 1998-12-10 2000-05-23 Siemens Aktiengesellschaft Method and apparatus for preventing formation of black silicon on edges of wafers
US7615131B2 (en) * 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7256148B2 (en) 2005-05-12 2007-08-14 International Business Machines Corporation Method for treating a wafer edge
RU2008108012A (ru) 2005-08-02 2009-09-10 Массачусетс Инститьют Оф Текнолоджи (Us) Способ применения nf3 для удаления поверхностных отложений
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
DE102005063089A1 (de) 2005-12-30 2007-07-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand
US7575638B2 (en) * 2007-02-02 2009-08-18 Lam Research Corporation Apparatus for defining regions of process exclusion and process performance in a process chamber
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
KR20090026186A (ko) * 2006-07-11 2009-03-11 도쿄엘렉트론가부시키가이샤 성막 방법, 클리닝 방법 및 성막 장치
KR20080053167A (ko) * 2006-12-08 2008-06-12 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8268116B2 (en) * 2007-06-14 2012-09-18 Lam Research Corporation Methods of and apparatus for protecting a region of process exclusion adjacent to a region of process performance in a process chamber
US8137501B2 (en) 2007-02-08 2012-03-20 Lam Research Corporation Bevel clean device
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
KR101459762B1 (ko) * 2007-12-27 2014-11-07 메이플 비젼 테크놀로지스 인크. 광정보 기록방법, 광정보 재생방법
WO2009125951A2 (en) * 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8616390B2 (en) * 2008-11-12 2013-12-31 Theodosios Kountotsis Triple chamber bottle and method of manufacturing the same
US8562750B2 (en) * 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001099159A2 (en) 2000-06-20 2001-12-27 Infineon Technologies North America Corp. Reduction of black silicon in deep trench etch
US20100248463A1 (en) 2009-03-31 2010-09-30 Tobias Letz Enhancing adhesion of interlayer dielectric materials of semiconductor devices by suppressing silicide formation at the substrate edge

Also Published As

Publication number Publication date
CN103460347B (zh) 2016-10-26
US20130312913A1 (en) 2013-11-28
JP2014503986A (ja) 2014-02-13
SG189223A1 (en) 2013-05-31
WO2012054577A3 (en) 2013-10-24
TW201234449A (en) 2012-08-16
US20120094502A1 (en) 2012-04-19
TWI555070B (zh) 2016-10-21
WO2012054577A2 (en) 2012-04-26
JP5982383B2 (ja) 2016-08-31
KR20130136992A (ko) 2013-12-13
US8501283B2 (en) 2013-08-06
CN103460347A (zh) 2013-12-18

Similar Documents

Publication Publication Date Title
KR101892310B1 (ko) 베벨 보호 필름을 퇴적하는 방법들
KR102462224B1 (ko) 플라즈마 프로세싱 챔버 내의 엘라스토머 시일의 수명을 연장시키는 크기로 형성된 에지 링
TWI480948B (zh) 用於電漿處理室之選擇性預塗佈的方法及設備
US5716486A (en) Method and apparatus for tuning field for plasma processing using corrected electrode
KR100540052B1 (ko) 플라즈마 처리 장치 및 기판 탑재대
US20100163186A1 (en) Plasma Processing Apparatus
KR20080063463A (ko) 기판 에지로부터 부산물 세트의 제거를 위한 장치 및 그방법들
WO2009079285A1 (en) Silicon carbide focus ring for plasma etching system
TW201528323A (zh) 斜角蝕刻器用之可調式上部電漿排除區域環
JP6007070B2 (ja) スパッタリング方法及びスパッタリング装置
KR20140016837A (ko) 플라즈마 처리 챔버용 에지 링 어셈블리와 그 제조 방법
JP6462283B2 (ja) プラズマ処理装置
TW201702412A (zh) 成膜裝置及成膜方法
US20200058539A1 (en) Coating material for processing chambers
JP2018078168A (ja) プラズマ処理方法およびプラズマ処理装置
JP6088780B2 (ja) プラズマ処理方法及びプラズマ処理装置
US8974600B2 (en) Deposit protection cover and plasma processing apparatus
KR101895931B1 (ko) 기판 처리 장치 및 방법
TW202300677A (zh) 內壁構件的再生方法
CN114093740A (zh) 基板处理方法以及基板处理装置
JP5618884B2 (ja) 基板のプラズマ処理方法
KR20060072499A (ko) 플라즈마를 이용한 반도체 소자의 세정장치 및 방법
JP2003059897A (ja) 自然酸化膜除去方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant