CN103456641B - Pmos设备的晚原位掺杂硅锗接合 - Google Patents

Pmos设备的晚原位掺杂硅锗接合 Download PDF

Info

Publication number
CN103456641B
CN103456641B CN201310202981.3A CN201310202981A CN103456641B CN 103456641 B CN103456641 B CN 103456641B CN 201310202981 A CN201310202981 A CN 201310202981A CN 103456641 B CN103456641 B CN 103456641B
Authority
CN
China
Prior art keywords
stack
dielectric constant
metal grid
constant metal
grid pole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310202981.3A
Other languages
English (en)
Other versions
CN103456641A (zh
Inventor
J·亨治尔
S·Y·翁
S·弗莱克豪斯基
T·沙伊普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
GlobalFoundries Singapore Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Singapore Pte Ltd filed Critical GlobalFoundries Singapore Pte Ltd
Publication of CN103456641A publication Critical patent/CN103456641A/zh
Application granted granted Critical
Publication of CN103456641B publication Critical patent/CN103456641B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明涉及PMOS设备的晚原位掺杂硅锗接合。实施例包含形成第一及第二高介电常数金属栅极栅极堆栈于基板上、形成氮化物衬垫及氧化物间隙壁于各该第一及第二高介电常数金属栅极栅极堆栈的各侧、于各该第一及第二高介电常数金属栅极栅极堆栈的各侧执行晕/延伸植入、形成氧化物衬垫及氮化物间隙壁于各该第一及第二高介电常数金属栅极栅极堆栈的该氧化物间隙壁上;形成深源极/漏极区域在该第二高介电常数金属栅极栅极堆栈的相反侧上;形成氧化物硬掩模于该第二高介电常数金属栅极栅极堆栈之上;形成嵌入式硅锗于该第一高介电常数金属栅极栅极堆栈的相反侧上;以及移除该氧化物硬掩模。

Description

PMOS设备的晚原位掺杂硅锗接合
技术领域
本发明是关于一种具有嵌入式硅锗(silicon germanium,SiGe)的源极/漏极区域的高介电常数金属栅极(High-K Metal Gate,HKMG)半导体装置。本发明是特别适用于28奈米(nm)的超级低功率(28nmsuper-low-power,28SLP)技术。
背景技术
在目前的行动/多媒体市场中,对于长待机时间,确切的说,低漏电产品有巨大的需求。28SLP制程原本是设计来满足此需求。然而,对于高效能且低功耗也有需求。用于高效能的驱动需要要求高驱动电流的微电子组件的高速运作。典型地,倾向于提供所需要的驱动电流的增加的结构及掺杂参数对于漏电电流会有不利的影响。高介电常数金属栅极(High-k metal gate,HKMG)电极是发展来通过降低多晶硅空乏(polysilicon depletion)以改善驱动电流。
在现代的CMOS技术中,嵌入式硅锗(silicon germanium,SiGe)的源极/漏极区域在PFET装置中为标准的,因其通过导入单轴应变至信道中来改善效能。然而,至今28SLP制程并未包含例如硅锗的嵌入式源极/漏极压力源(stressor)在p活跃(p-active)的源极/漏极区域中,且因此由于较低的电洞迁移率而在效能上有所缺乏。
因此,存在需要用于通过整合硅锗至HKMG制程中以使具有高效能的SLP装置能够制造的方法及所产生的装置。
发明内容
本发明揭露的实施例为一种用于形成具有嵌入式硅锗(eSiGe)在PMOS中的HKMG CMOS装置的晚原位掺杂(in-situ-doped late)硅锗(在制程流程中所有的植入之后)制程流程。
本发明揭露的另一实施例为一种由晚硅锗制程所形成的具有嵌入式硅锗在PMOS中的HKMG CMOS装置。
本发明揭露的额外方面及其它特征将在以下的内容中加以描述,其中某些部分对于本领域技术人员而言,在检视过以下的内容后,会认为是显而易见的,或者也可从本发明的实作中加以学习。本发明的优点,可通过附随的权利要求书中所特别指出的,来加以实现及获得。
根据本发明揭露的方面,一些技术效果可通过一种方法部分达成,该方法包含:形成第一及第二高介电常数金属栅极(HKMG)栅极堆栈于基板上;形成氮化物衬垫及氧化物间隙壁于各该第一及第二HKMG栅极堆栈的各侧;于各该第一及第二HKMG栅极堆栈的各侧执行晕/延伸植入;形成氧化物衬垫及氮化物间隙壁于各该第一及第二HKMG栅极堆栈的该氧化物间隙壁上;形成深源极/漏极区域在该第二HKMG栅极堆栈的相反侧上;形成氧化物硬掩模于该第二高介电金属栅极栅极堆栈之上;形成嵌入式硅锗于该第一HKMG栅极堆栈的相反侧上;以及移除该氧化物硬掩模。
本发明揭露的方面包括:形成氮化硅的该氮化物衬垫;形成二氧化硅的该氧化物间隙壁;以及形成氮化硅的该氮化物间隙壁。本发明揭露的另一方面包括该第一及第二HKMG栅极堆栈各包含高介电常数介电质、功函数金属、多晶硅、以及氮化硅上盖。本发明揭露的其它方面包括于形成该嵌入式硅锗前进行预清洗;以及最佳化该预清洗以保护该二氧化硅间隙壁。本发明揭露的又一方面包括形成该嵌入式硅锗于该第一HKMG栅极堆栈的各侧上,通过:经由以氢氧化四甲基铵(tetramethylammonium hydroxide,TMAH)的湿式蚀刻形成孔穴;以及于该孔穴中磊晶成长硅锗。本发明揭露的额外方面包括例如以梯度掺杂分布原位植入硼掺质至该嵌入式硅锗中。本发明揭露的再一方面包括退火以在形成该氧化物硬掩模之后活化植入的掺质。本发明揭露的另一方面包括经由以稀释氢氟酸(diluted hydrofluoric acid,dHF)的湿式蚀刻移除该氧化物硬掩模。本发明揭露的其它方面包括于移除该氧化物硬掩模之后移除该氮化硅上盖及该氮化物间隙壁。本发明揭露的额外方面包括由干式或湿式蚀刻制程移除该氮化硅上盖及该氮化物间隙壁。本发明揭露的又一方面包括形成硅化物于该源极/漏极区域、该嵌入式硅锗、及该第一及第二HKMG栅极堆栈上。本发明揭露的另一方面包括形成信道硅锗区域在该第一HKMG栅极堆栈之下。
本发明揭露的再一方面为一种装置,包括:第一及第二高介电常数金属栅极(HKMG)栅极堆栈,各自包含高介电常数介电质、功函数金属、及多晶硅;氮化物衬垫及第一与第二氧化物间隙壁,依次地形成于各该第一及第二高介电金属栅极栅极堆栈的各侧;晕及延伸植入区域,位于各该第一及第二HKMG栅极堆栈的相反侧,其形成于该第二氧化物间隙壁之前;深源极/漏极区域,位于该第二HKMG栅极堆栈的相反侧上,其形成于该第二氧化物间隙壁之后;以及嵌入式硅锗,位于该第一HKMG栅极堆栈的相反侧上,其利用该第二栅极堆栈之上的氧化物硬掩模形成于该深源极/漏极区域之后。
方面包括第一及第二高介电常数金属栅极(HKMG)栅极堆栈,各自包含高介电常数介电质、功函数金属、及多晶硅;氮化物衬垫及第一与第二氧化物间隙壁,依次地形成于各该第一及第二HKMG栅极堆栈的各侧;晕及延伸植入区域,位于各该第一及第二HKMG栅极堆栈的相反侧,其形成于该第二氧化物间隙壁之前;深源极/漏极区域,位于该第二栅极HKMG栅极堆栈的相反侧上,其形成于该第二氧化物间隙壁之后;以及嵌入式硅锗,位于该第一HKMG栅极堆栈的相反侧上,其利用该第二栅极堆栈之上的氧化物硬掩模形成于该深源极/漏极区域之后。其它方面包括氮化物间隙壁是形成于该第二氧化物间隙壁之上,且位于该第二栅极HKMG栅极堆栈的相反侧上的该深源极/漏极区域是以该氮化物间隙壁作为软掩模而形成。另一方面包括该氮化物衬垫及该氮化物间隙壁包含氮化硅(silicon nitride,SiN)且该氧化物间隙壁包含二氧化硅(silicon dioxide,SiO2)。额外方面包括该嵌入式硅锗是以具有梯度掺杂分布的硼原位植入。其它方面包括硅化物,其位于该嵌入式硅锗、该深源极/漏极区域、及该第一及第二HKMG栅极堆栈上。再一方面包括信道硅锗区域,其位于该第一HKMG栅极堆栈之下。
本发明揭露的另一方面为一种方法,包括:形成PMOS及NMOS高介电常数金属栅极(HKMG)栅极堆栈于基板上;形成L型氮化硅衬垫及二氧化硅间隙壁于各该PMOS及NMOS高介电金属栅极栅极堆栈的各侧;于各该PMOS及NMOS的HKMG栅极堆栈的各侧执行晕/延伸植入;形成L型二氧化硅衬垫及氮化硅间隙壁于各该PMOS及NMOS的HKMG栅极堆栈的该二氧化硅间隙壁上;植入深源极/漏极区域在该NMOS的HKMG栅极堆栈的相反侧;形成二氧化硅硬掩模于该NMOS的HKMG栅极堆栈之上;形成嵌入式硅锗于该PMOS的HKMG栅极堆栈的相反侧上,通过:经由以氢氧化四甲基铵(TMAH)的湿式蚀刻在该PMOS的HKMG栅极堆栈的各侧形成孔穴;于该孔穴中磊晶成长硅锗;及于磊晶成长的同时,以梯度掺杂分布原位植入硼掺质至该嵌入式硅锗中;经由稀释氢氟酸湿式蚀刻该氧化物硬掩模;干式或湿式蚀刻该氮化硅上盖及该氮化硅间隙壁;以及形成硅化物于该源极/漏极区域、该嵌入式硅锗、及该PMOS及NMOS的HKMG栅极堆栈上。
对于本领域技术人员而言,从以下的详细描述中,可明显地认识到本案的额外认识及技术效果,其中,本发明的实施例仅通过例示用以实行本发明的最佳模式来加以描述。将会了解到,本发明可有其它不同的实施例,并且,可针对各种显而易知的方面,修改部分的细节,而不致背离本发明。因此,附图及描述其本质仅视为例示之用,而非用以限制本发明。
附图说明
本发明是通过范例中的随附附图来加以例示,而非限制之用,在该附图中,相同的组件符号视为类似的组件,其中:
图1A至图1I是根据本发明揭露的实施例,示意地例示用于形成具有PMOS嵌入式硅锗源极/漏极区域的半导体装置的制程。
符号说明
具体实施方式
在以下的描述中,为了解释的目的,列出各种特定的细节,以提供示范实施例的全盘了解。然而,很明显地,示范实施例不需要这些特定细节、或以均等配置,也可加以实行。在其它例子中,众所周知的结构及装置是以方块图的表现形式加以显示,以避免不必要地模糊示范实施例。此外,除非特别指明,否则应了解到,说明书及附图中所使用的所有数字表示的数量、比例、以及成分及反应条件等的数值特性。在所有例子中,均可通过“大约”这个术语来加以修饰。
本发明面对并解决目前栅极优先(gate first)HKMG的包覆不足的问题,并伴随着在PMOS装置中形成嵌入式硅锗源极/漏极区域。依据本发明揭露的实施例,一种晚硅锗方法是合并至HKMG制程中,在较高的PFET效能下增加良率。详言之,在各种植入过程期间,形成氮化物衬垫、氧化物间隙壁、氧化物衬垫、及氮化物间隙壁以包覆该栅极,在全部植入完成后,形成氧化物硬掩模于该NMOS栅极堆栈之上,并在形成于PMOS的相反侧的孔穴中磊晶成长嵌入式硅锗。
依据本发明的实施例的方法,包括形成第一及第二高介电常数金属栅极(HKMG)栅极堆栈于基板上、形成氮化物衬垫及氧化物间隙壁于各该第一及第二HKMG栅极堆栈的各侧上、于各该第一及第二HKMG栅极堆栈的各侧执行晕/延伸植入、形成氧化物衬垫及氮化物间隙壁于各该第一及第二HKMG栅极堆栈的该氧化物间隙壁上、形成深源极/漏极区域在该第二HKMG栅极堆栈的相反侧上、形成氧化物硬掩模于该第二HKMG栅极堆栈之上、形成嵌入式硅锗于该第一HKMG栅极堆栈的相反侧上、以及移除该氧化物硬掩模。
对于本领域技术人员而言,从以下的详细描述中,可明显地认识到本案的额外方面、特征及技术效果,其中,本发明的实施例仅通过例示用以实行本发明的最佳模式来加以描述。本发明可有其它不同的实施例,并且,可针对各种显而易知的方面,修改部分的细节。因此,附图及描述其本质仅视为例示之用,而非用以限制本发明。
图1A至图1I是根据本发明的示范实施例,例示将嵌入式硅锗整合至PMOS的制程流程。参照图1A,栅极优先HKMG堆栈101,包括高介电常数介电质103(例如二氧化铪(hafnium oxide,HfO2)或氮氧硅铪(hafnium silicon oxynitride,HfSiON))、功函数金属105(例如氮化钛(titanium nitride,TiN))、多晶硅107(poly silicon,poly-Si)、以及氮化硅上盖109是显示在基板111上以用于NMOS 113及PMOS 115各者。PMOS115还包括在基板111中,位于该高介电常数介电质103之下的5至10nm厚度的信道硅锗117(channel SiGe,cSiGe)以调整由于该栅极优先方法的临限电压(threshold voltage)。使用栅极优先方法还需要包覆层围绕该栅极堆栈以于之后例如清洗及蚀刻的制程步骤保护HKMG。为此目的,多层沉积(multilayer deposition,MLD)氮化硅(Si3N4)层119是毯覆式沉积(blanket deposition)在整个基板上达3nm至6nm的厚度。低压氧基硅烷(low pressure tetra ethyl ortho silicate,LPTEOS)/高温氧化物(hightemperature oxide,HTO)氧化层121是以5nm至10nm的厚度形成于Si3N4 MLD层119上,用以在各栅极堆栈的各侧上形成间隙壁零层(spacer zero)。
如图1B所示,非等向性蚀刻氧化层121以形成SiO2间隙壁(SP0)123。MLD Si3N4层119也从该打开的作用区域被蚀刻。该间隙壁是在形成晕区域129及延伸区域131时,使用来补偿(offset)及调整晕/延伸植入(125/127),如图1C所示,对于该NMOS及PMOS二者,是对各者使用植入掩模(为了例示方便而未图标)。晕区域是通过在中等能量(例如,35keV至50keV)下,植入低到中剂量(例如,3.5E13至7E13)的砷(As)、硼(B)、或氟化硼(BF2)而形成。延伸区域是通过在低能量(例如,0.7keV对硼或4keV对砷)下,植入高剂量(例如,1.1E15)的砷(As)、硼(B)、或氟化硼(BF2)而形成。
参照图1D,例如为氮化硅的氮化物间隙壁133是形成于该NMOS及该PMOS二者上,具有氧化物衬垫135在下方以作为蚀刻停止层。在NMOS中,氮化物间隙壁133是用作为软掩模用于深源极/漏极植入,以形成深源极/漏极区域137。举例而言,可在高剂量(例如,2E15)及高能量(例如,6keV对硼或20keV对砷)下植入砷(As)、硼(B)、或氟化硼(BF2)。
随后,如图1E所示,氧化层139是以10nm至25nm的厚度沉积在NMOS 113上。氧化层139可被形成在NMOS 113及PMOS 115上,然后由PMOS 115上清除。该氧化层用作为NMOS 113上的硬掩模。接着执行快速热退火(rapid thermal anneal,RTA)以活化该掺质并退火处理所有的植入伤害。RTA透过槽密实化(trough densification)同时硬化了硬掩模139。或者,可在n型深源极/漏极植入之后,以及因此在该氧化硬质掩模139形成前,直接执行RTA。
如图1F所示,在该基板中该PMOS 115栅极堆栈的各侧上,Σ形状(sigma shaped)孔穴141是使用氢氧化四甲基铵(tetramethylammoniumhydroxide,TMAH)所形成。虽然可能为其它形状,该Σ形状孔穴141允许非常接近的近似性(proximity)以及因此该晶体管信道区域内的最大应力。在进一步处理之前,执行预清洗,例如以________,其为最佳化的(也就是,并不非常侵略性的)以保护该氧化物硬掩模139。
在预清洗之后,如图1G所示,硅锗143是在该孔穴141中成长,举例而言通过低压化学气相沉积(low-pressure chemical vapor deposition,LPCVD)制程作为用于该PMOS装置的深源极/漏极区域的原位梯度硼掺杂沉积。原位掺杂(in-situ doping)是被使用以允许高且均匀的掺杂水准(doping level),其因此降低寄生电阻与接触电阻,借此允许较高的驱动电流。此外,硼允许锗的含量增加,例如大于35%,相对于未掺杂硅锗的25%,其引发较高的应力并进一步改善电洞迁移率提升。通过在磊晶过程中掺杂PMOS的源极/漏极区域,消除了专用的源极/漏极植入,借此节省用于掩模及植入的制程成本、减少周期时间(cycle time)、及降低来自植入伤害的应力松弛。再者,硼掺质是通过磊晶而活化,从而消除额外退火的需求。稍微的过度成长(overgrowth)是帮助形成更为坚固的包覆以及用于其会攻击主动开放硅区域(active open siliconarea)之后续清洗的裕度(margin)。过度成长还提供额外的裕度以用于形成坚固的硅化物,例如硅化镍(nickel silicide,NiSi),并具有较佳的接触电阻。
如图1H所示,另一清洗步骤,例如使用湿式蚀刻,举例而言以稀释氢氟酸(diluted hydrofluoric acid,dHF),将由NMOS 113上移除该氧化物硬掩模139。参照图1I,该湿式蚀刻是接着干式蚀刻或一额外的湿式蚀刻,举例而言,使用热磷酸(hot phosphoric acid,H3PO4),以移除该氮化物上盖109及氮化物间隙壁133。如图所示,此将保留曝露出来的L型氧化物间隙壁135。
金属,例如镍(Ni)、镍钛(NiTi)或钴(Co),可随后沉积在整个装置上并退火处理以形成在源极/漏极区域137及多晶硅107上的硅化物145(即,硅化镍、硅化镍钛、或硅化钴)以及在硅锗143上的硅化物145(即,硅锗化镍(NiSiGe)、硅锗化镍钛(NiTiSiGe)、或硅锗化钴(CoSiGe)),以形成低电阻区域。与硅锗结合的硅化物降低了片电阻及接触电阻,从而改善了效能行为。制程流程随后继续传统的中段(middle-of-line,MOL)制程以及接触形成。或者,在硅化的时间,若L型氧化物间隙壁135过薄,例如小于10nm,举例而言因为在沉积镍之前的预清洗过程中薄化,则必须在形成硅化物之前形成额外的氮化物间隙壁(氮化硅保护间隙壁,为了例示方便而未图标),以增加远离该信道区域的硅化物距离。之后制程可继续所揭露的硅化制程、中段制程以及接触形成。
本发明揭露的实施例可达成多种技术效果,包含增进栅极优先HKMG的包覆,从而改善良率、降低接触电阻、降低在PMOS装置中的串联电阻、增加在PMOS装置中的载子迁移率及驱动电流、增进效能、及降低制造成本。依据本发明揭露的实施例所形成的装置可在各种产业应用中享有实用性,例如,微处理器、智能型手机、行动电话、蜂巢式手机,机上盒、DVD录像机及播放机、汽车导航、打印机及外围设备、网络及电信装备、游戏系统、以及数字相机。因此,本发明在任何高度整合的半导体装置的各种类型,特别是32nm及28nm技术以上的技术,享有产业利用性。
在先前的段落中,本发明是参考本发明的特定示范实施例来加以描述。然而,很明显地,可对本发明作出各种修正及改变,而不致于背离本发明在权利要求书中所呈现的最广精神及范围。因此,该说明书及附图将被视为例示、而非限制之用。应了解到,本发明可使用不同的其它组合及实施例,并因此可在本文所表示的发明概念的范围内,作任何的改变或修正。

Claims (14)

1.一种形成半导体装置的方法,包括:
形成第一及第二高介电常数金属栅极(HKMG)的栅极堆栈于基板上;
形成氮化物衬垫及氧化物间隙壁于各该第一及第二高介电常数金属栅极的栅极堆栈的各侧上,以使该氮化物衬垫直接接触该第一及第二高介电常数金属栅极的栅极堆栈;
于各该第一及第二高介电常数金属栅极的栅极堆栈的各侧执行晕/延伸植入;
形成氧化物衬垫及氮化物间隙壁于各该第一及第二高介电常数金属栅极的栅极堆栈的该氧化物间隙壁上;
形成深源极/漏极区域在该第二高介电常数金属栅极的栅极堆栈的相反侧上;
形成氧化物硬掩模于该第二高介电常数金属栅极的栅极堆栈之上;
形成嵌入式硅锗于该第一高介电常数金属栅极的栅极堆栈的相反侧上;以及
移除该氧化物硬掩模。
2.根据权利要求1所述的方法,包括:
形成氮化硅的该氮化物衬垫;
形成二氧化硅的该氧化物间隙壁;以及
形成氮化硅的该氮化物间隙壁。
3.根据权利要求1所述的方法,其中,该第一及第二高介电常数金属栅极的栅极堆栈各还包含高介电常数介电质、功函数金属、多晶硅、以及氮化硅上盖。
4.根据权利要求2所述的方法,还包括:
于形成该嵌入式硅锗前进行预清洗;以及
最佳化该预清洗,以保护该二氧化硅的该氧化物间隙壁。
5.根据权利要求1所述的方法,包括:
形成该嵌入式硅锗于该第一高介电常数金属栅极的栅极堆栈的各侧上,其通过:
经由以氢氧化四甲基铵(TMAH)的湿式蚀刻形成孔穴;以及
于该孔穴中磊晶成长硅锗。
6.根据权利要求5所述的方法,还包括原位植入硼掺质至该嵌入式硅锗中。
7.根据权利要求6所述的方法,包括以梯度掺杂分布植入硼。
8.根据权利要求1所述的方法,还包括退火,以在形成该氧化物硬掩模之后活化植入的掺质。
9.根据权利要求1所述的方法,包括经由以稀释氢氟酸的湿式蚀刻移除氧化物硬掩模。
10.根据权利要求9所述的方法,还包括于移除该氧化物硬掩模之后移除该氮化硅上盖及该氮化物间隙壁。
11.根据权利要求10所述的方法,包括经由干式或湿式蚀刻制程移除该氮化硅上盖及该氮化物间隙壁。
12.根据权利要求11所述的方法,还包括形成硅化物于该源极/漏极区域、该嵌入式硅锗、及该第一及第二高介电常数金属栅极的栅极堆栈上。
13.根据权利要求1所述的方法,还包括形成信道硅锗区域在该第一高介电常数金属栅极的栅极堆栈之下。
14.一种形成半导体装置的方法,包括:
形成PMOS及NMOS高介电常数金属栅极(HKMG)栅极堆栈于基板上;
形成L型氮化硅衬垫及二氧化硅间隙壁于各该PMOS及NMOS的高介电常数金属栅极的栅极堆栈的各侧上,以使该氮化矽衬垫直接接触该PMOS及NMOS的高介电常数金属栅极的栅极堆栈;
于各该PMOS及NMOS的高介电常数金属栅极的栅极堆栈的各侧执行晕/延伸植入;
形成L型二氧化硅衬垫及氮化硅间隙壁于各该PMOS及NMOS的高介电常数金属栅极的栅极堆栈的该二氧化硅间隙壁上;
植入深源极/漏极区域在该NMOS的高介电常数金属栅极的栅极堆栈的相反侧;
形成二氧化硅硬掩模于该NMOS的高介电常数金属栅极的栅极堆栈之上;
形成嵌入式硅锗于该PMOS的高介电常数金属栅极的栅极堆栈的相反侧上,其通过:
经由以氢氧化四甲基铵(TMAH)的湿式蚀刻在该PMOS的高介电常数金属栅极的栅极堆栈的各侧形成孔穴;
于该孔穴中磊晶成长硅锗;及
于磊晶成长的同时,以梯度掺杂分布原位植入硼掺质至该嵌入式硅锗中;
经由稀释氢氟酸湿式蚀刻该二氧化硅硬掩模;
干式或湿式蚀刻该氮化硅上盖及该氮化硅间隙壁;以及
形成硅化物于该源极/漏极区域、该嵌入式硅锗、及该PMOS及NMOS的高介电常数金属栅极的栅极堆栈上。
CN201310202981.3A 2012-05-29 2013-05-28 Pmos设备的晚原位掺杂硅锗接合 Active CN103456641B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/482,393 US8936977B2 (en) 2012-05-29 2012-05-29 Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US13/482,393 2012-05-29

Publications (2)

Publication Number Publication Date
CN103456641A CN103456641A (zh) 2013-12-18
CN103456641B true CN103456641B (zh) 2016-12-07

Family

ID=49669184

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310202981.3A Active CN103456641B (zh) 2012-05-29 2013-05-28 Pmos设备的晚原位掺杂硅锗接合

Country Status (3)

Country Link
US (2) US8936977B2 (zh)
CN (1) CN103456641B (zh)
TW (1) TWI501398B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012956B2 (en) * 2013-03-04 2015-04-21 Globalfoundries Inc. Channel SiGe removal from PFET source/drain region for improved silicide formation in HKMG technologies without embedded SiGe
CN103178014B (zh) * 2013-03-14 2016-01-27 上海华力微电子有限公司 一种u型沟槽的制造方法
CN104576378B (zh) * 2013-10-13 2017-12-12 中国科学院微电子研究所 一种mosfet结构及其制造方法
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US10153371B2 (en) 2014-02-07 2018-12-11 Stmicroelectronics, Inc. Semiconductor device with fins including sidewall recesses
US9721947B2 (en) * 2014-02-12 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing
US10008383B2 (en) * 2014-03-10 2018-06-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9466718B2 (en) 2014-03-31 2016-10-11 Stmicroelectronics, Inc. Semiconductor device with fin and related methods
TWI671805B (zh) 2014-06-18 2019-09-11 聯華電子股份有限公司 半導體元件及其製作方法
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR102219295B1 (ko) 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US20160071954A1 (en) * 2014-09-04 2016-03-10 Globalfoundries Inc. Robust post-gate spacer processing and device
US9343374B1 (en) * 2014-10-29 2016-05-17 Globalfoundries Inc. Efficient main spacer pull back process for advanced VLSI CMOS technologies
CN104409321B (zh) * 2014-10-30 2017-05-10 上海工程技术大学 一种使用NiTi合金外延生长NiSiGe材料的方法
US9703266B2 (en) 2014-11-04 2017-07-11 Spectracom Corporation Independent fiber-optic reference apparatuses and methods thereof
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN110120340B (zh) * 2015-06-16 2024-01-05 原相科技股份有限公司 半导体元件及其制造方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10312334B2 (en) 2016-04-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid doping profile
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10460925B2 (en) * 2017-06-30 2019-10-29 United Microelectronics Corp. Method for processing semiconductor device
US20190081145A1 (en) * 2017-09-12 2019-03-14 Globalfoundries Inc. Contact to source/drain regions and method of forming same
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10998241B2 (en) * 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10756184B2 (en) 2018-11-05 2020-08-25 Globalfoundries Inc. Faceted epitaxial source/drain regions
FR3095549B1 (fr) * 2019-04-25 2021-05-21 Commissariat Energie Atomique Procede de realisation d’un transistor dont la zone active comporte un materiau semi-metallique
CN110491780A (zh) * 2019-08-27 2019-11-22 上海华力集成电路制造有限公司 增大多晶硅栅极硬掩膜去除工艺窗口的方法
CN114121660B (zh) * 2020-08-31 2024-03-12 联华电子股份有限公司 半导体元件及其制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1883040A (zh) * 2003-12-08 2006-12-20 英特尔公司 用于通过减少自对准硅化物界面电阻改善晶体管性能的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
US7652332B2 (en) * 2007-08-10 2010-01-26 International Business Machines Corporation Extremely-thin silicon-on-insulator transistor with raised source/drain
US8338292B2 (en) * 2009-02-18 2012-12-25 International Business Machines Corporation Body contacts for FET in SOI SRAM array
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
JP5442332B2 (ja) * 2009-06-26 2014-03-12 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8106456B2 (en) * 2009-07-29 2012-01-31 International Business Machines Corporation SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US8105892B2 (en) * 2009-08-18 2012-01-31 International Business Machines Corporation Thermal dual gate oxide device integration
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
DE102009046261B4 (de) * 2009-10-30 2012-05-16 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε, die vor den Drain/Source-Gebieten auf der Grundlage eines Opferkohlenstoffabstandshalters hergestellt werden
US8338260B2 (en) * 2010-04-14 2012-12-25 International Business Machines Corporation Raised source/drain structure for enhanced strain coupling from stress liner
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8513106B2 (en) * 2010-12-09 2013-08-20 International Business Machines Corporation Pseudo butted junction structure for back plane connection
DE102010063292B4 (de) * 2010-12-16 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung gering diffundierter Drain- und Sourcegebiete in CMOS-Transistoren für Anwendungen mit hoher Leistungsfähigkeit und geringer Leistung
DE102011003439B4 (de) * 2011-02-01 2014-03-06 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Durchlassstromerhöhung in Feldeffekttransistoren durch asymmetrische Konzentrationsprofile von Legierungssubstanzen einer Kanalhalbleiterlegierung und Halbleiterbauelement
US20130065367A1 (en) * 2011-09-13 2013-03-14 Globalfoundries Inc. Methods of Forming Highly Scaled Semiconductor Devices Using a Reduced Number of Spacers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1883040A (zh) * 2003-12-08 2006-12-20 英特尔公司 用于通过减少自对准硅化物界面电阻改善晶体管性能的方法

Also Published As

Publication number Publication date
US20150054072A1 (en) 2015-02-26
TWI501398B (zh) 2015-09-21
TW201349504A (zh) 2013-12-01
US8936977B2 (en) 2015-01-20
US20130320449A1 (en) 2013-12-05
CN103456641A (zh) 2013-12-18

Similar Documents

Publication Publication Date Title
CN103456641B (zh) Pmos设备的晚原位掺杂硅锗接合
US8975704B2 (en) Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
TWI312556B (en) Semiconductor structure and fabricating method thereof
US7678636B2 (en) Selective formation of stress memorization layer
US20070034906A1 (en) MOS devices with reduced recess on substrate surface
US8039375B2 (en) Shallow junction formation and high dopant activation rate of MOS devices
US7935593B2 (en) Stress optimization in dual embedded epitaxially grown semiconductor processing
US20080124861A1 (en) Electron mobility enhancement for MOS devices with nitrided polysilicon re-oxidation
US9478657B2 (en) High gain device
US10163727B2 (en) MOS devices with thinned gate spacers and methods of thinning the gate spacers
TW200937522A (en) Dual contact etch stop layer process
US8039342B2 (en) Enhanced integrity of a high-K metal gate electrode structure by using a sacrificial spacer for cap removal
JP4888118B2 (ja) 半導体装置の製造方法及び半導体装置
US8999803B2 (en) Methods for fabricating integrated circuits with the implantation of fluorine
US9876111B2 (en) Method of forming a semiconductor device structure using differing spacer widths and the resulting semiconductor device structure
JP2006319326A (ja) ポリの高さ低減のためのSOI底プレドーピングを合併したe−SiGe
JP2008103644A (ja) 半導体装置およびその製造方法
US8647951B2 (en) Implantation of hydrogen to improve gate insulation layer-substrate interface
US20090065806A1 (en) Mos transistor and fabrication method thereof
US7902021B2 (en) Method for separately optimizing spacer width for two or more transistor classes using a recess spacer integration
US9041119B2 (en) Forming CMOS with close proximity stressors
CN102347237A (zh) 用于制造包含应力层的半导体器件结构的方法
CN103594420B (zh) 半导体器件制造方法
US7229870B2 (en) Methods of fabricating semiconductor devices
US8916430B2 (en) Methods for fabricating integrated circuits with the implantation of nitrogen

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant