JP2006319326A - ポリの高さ低減のためのSOI底プレドーピングを合併したe−SiGe - Google Patents

ポリの高さ低減のためのSOI底プレドーピングを合併したe−SiGe Download PDF

Info

Publication number
JP2006319326A
JP2006319326A JP2006114623A JP2006114623A JP2006319326A JP 2006319326 A JP2006319326 A JP 2006319326A JP 2006114623 A JP2006114623 A JP 2006114623A JP 2006114623 A JP2006114623 A JP 2006114623A JP 2006319326 A JP2006319326 A JP 2006319326A
Authority
JP
Japan
Prior art keywords
doping
silicon substrate
gate
layer
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2006114623A
Other languages
English (en)
Other versions
JP2006319326A5 (ja
Inventor
Hirosuke Koyama
裕亮 幸山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of JP2006319326A publication Critical patent/JP2006319326A/ja
Publication of JP2006319326A5 publication Critical patent/JP2006319326A5/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/812Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a Schottky gate
    • H01L29/8126Thin film MESFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】ソース/ドレイン領域とゲート電極へのドーピングにおける、チャネル中へのボロン浸透の低減と、埋め込み酸化物層まで完全にドープされたソース/ドレイン領域の形成と、併せてチャネルへの圧縮応力を印加する半導体装置の形成方法の提供。
【解決手段】埋め込み酸化物層上のシリコン層301にゲート電極302を形成し、ゲート電極302を挟んだシリコン面に窪んだ部分305を形成する。その後、窪んだ部分305にプレドーピングを行い、更に窪みにSiGe層401をエピタキシャル成長で形成する。エクステンション形成が行われた後、ソース/ドレイン領域とゲート電極302に低エネルギーのドーピングを行う。
【選択図】図6

Description

本発明の側面は、半導体装置及び製造のためのそれらの方法に一般に向けられ、より特にトランジスタチャネルへボロンの浸透を低減する間にトランジスタのソース/ドレイン領域をドープする方法を提供し、そして、またトランジスタチャネルに圧縮応力を印加するのと同じトランジスタ中のSiGe層を提供する。
様々なタイプのシリコントランジスタは、しばしばポリシリコンゲートを含んでいる。ゲートの適切な高さに影響を及ぼすことができる多くの要因がある。例えばゲートを高くし過ぎると、注入物陰影障害問題(特にゲートが小さなスケールのピッチで繰り返される場合)と製造中におけるポリシリコンエッチングの困難さと同様に、最終製品で望ましくない寄生容量のような問題を引き起こす場合がある。従って、ポリシリコンゲートの高さを制限することが望ましい。
一方、ゲートを低くし過ぎることは他の問題を招く。例えば、トランジスタの製造中に、トランジスタチャネル中への望ましくないボロンの染み込みを許容することと、トランジスタのソース/ドレイン領域を不十分にドープすることとの間には、トレードオフの関係がある。例えば、図1を参照すると、説明する従来のシリコンデバイスは、シリコン基体1、シリコン基体1の直下の埋め込み酸化物(BOX)層4、及びBOX層4の下の基板(図示せず)を含むシリコン・オン・インシュレーター(SOI)ウェーハ構成を持っている。そのデバイスは、ポリシリコンゲート2とソース/ドレイン領域3を含むトランジスタを持っている。ソース/ドレイン領域3を形成するために、その領域はボロンイオンでドープされる。ゲート2は、またボロンイオンで同時にドープされる。図1では、ゲート2の下のチャネル中へのボロンイオンの染み込みを回避するために、低いエネルギー量のボロンイオンが用いられる。チャネルへのボロン染み込みの回避に成功している間、そのような低いエネルギー量の副作用は、ソース/ドレイン領域3がBOX層4に接するように完全にはドープされないということである。言いかえれば、ソース/ドレイン領域3とBOX層4の間に隙間がある。これは望ましくない高い接合容量を導く。
図2を参照すると、この時はボロンイオンのより高いエネルギー量を使用した。その結果、BOX層4は、今適切にソース/ドレイン領域3に接し、それにより接合容量を低減する。しかしながら、完全にソース/ドレイン領域3をドープするために、副作用はシリコン基体1の下のチャネル部分の中へゲート2を通過してボロンイオンが完全に入り込んだということである。そのようなチャネルボロン染み込みはチャネル移動度の広範囲な低下の結果として生じ、それは全く不適当である。従って、完全に、不適当な量のボロンイオンがチャネルに入り込むことは許さずに、トランジスタのソース/ドレイン領域をドープする方法が必要である。
加えて、トランジスタのゲートの対向している側面とチャネル上へシリコン−ゲルマニウム(SiGe)層を使用するのが、トランジスタの性能を非常に向上させる場合があることが知られている。これは、SiGe層によるチャネル上の部分の圧縮応力によって引き起こされる。チャネルボロン染み込みを最小限にするかそうでなければ低減している間なしに完全にソース/ドレイン領域をドープする、そのようなSiGe層を含むトランジスタを製造する方法をまだ更に見つけることも望ましいだろう。
本発明の側面は、トランジスタチャネルのボロン染み込みを低減するかより回避する間にボロンイオンで十分にドープされるソース/ドレイン領域を備えたトランジスタを持っているシリコン・オン・インシュレーター(SOI)ウェーハ上に半導体装置を製造する方法に向けられる。これは、より低い接合容量のような優れた機能特性があるトランジスタに結果として生じても良い。そのようなデバイスは過度に高いトランジスタゲートを製造する必要なしに提供されても良く、製造ステップの比較的単純で安いセットを利用している間、それは更に低い寄生容量があるトランジスタに結果として生じても良い。上記のものの達成に加えて、製造工程はトランジスタチャネル上の圧縮応力を提供するシリコンゲルマニウム(SiGe)層の追加を更に考慮に入れ、それによってp型トランジスタチャネル内の正孔移動度を向上させる。
本発明のさらなる側面は、前述の半導体装置を製造する方法に導かれる、前述のトランジスタがp型の電界効果トランジスタ(PFET)であるもの、そこでその方法は、さらに同じウェーハ上にn型電界効果トランジスタ(NFET)の同時製造を考慮に入れる。
本発明のまださらなる側面は、前述の製造方法に起因する半導体装置及び/またはトランジスタに導かれる。
これらと発明の他の側面は、実例となる実施形態の次の詳述の考察で明白になる。
実例となる製造工程のあるステップを強調する概要は、今、図3−6(それは実例となるプロセスで行われても良いのと同じくらい連続する順に提供される)に関して記述されるだろう。図3を参照すると、従来のSOIウェーハの一部はシリコン基体301を含めて示される。p型電界効果トランジスタ(PFET)のポリシリコンゲート302は上記シリコン基体301上に形成され、そしてサイドウォールスペーサ304はゲート302の側壁上に形成される。ゲート302もまたSiN層303で覆われる。シリコン基体301の窪んだ部分305は、ボロン注入によってプレドープされる。次に、図4では、SiGe層401は、窪んだ部分305上にエピタキシャル成長される。エクステンション形成が図5で行われた後、それから図6のゲート302とソース/ドレイン領域に低エネルギーでボロンが注入され、それによってゲート302下のチャネルへボロンの染み込みを低減あるいは更に回避する。そのような低エネルギーのボロンは、例えば約5KeVのような2−10KeVの範囲のエネルギーを持っていても良い。
実例となる製造工程のより多くの詳細な開示が今、図7−22(それは実例となるプロセスで行われても良いのと同じくらい連続する順に提供される)に関して議論されるだろう。図7を最初に参照して、従来のSOIウェーハの一部は、埋め込み型シャロウトレンチアイソレーション(STI)層705を持ったシリコン基体701を含んで示される。上記シリコン基体701は、例えばおよそ50−70nmの厚さであることがある、またSTI層705は、例えばおよそ60−80nmの厚さであることがある。シリコン基体701はBOX層710上に配置され、そしてSTI層705はわずかにBOX層710中へ延びる。BOX層710は、例えばおよそ150nmの厚さであることがある。BOX層710は、順番に基板(示されない)上に配置される。n型電界効果トランジスタ(NFET)のゲート702とPFETのゲート703は、STI層705の対向する側面上のシリコン基体701上に従来の方法で形成される。ゲート702,703は、例えば高さが約100nmより低いことがあり、また薄いゲート酸化物層(示されない)を備えたシリコン基体701上に配置されても良い。その後、第1のSiN層はキャップ707,708を形成するために従来の方法でゲート702,703上に堆積される。キャップ707,708は、例えばおよそ50nmの厚さより薄い。ゲート702,703の側壁は、従来の方法(ゲート702,703の側壁上に、およそ5nmの広い再酸化物層(示されない)に結果として生じる)で再酸化する。そして、マスク層704(第2のSiN層のような)はシリコンウェーハの全表面に堆積させられる。マスク層704は、例えば厚さおよそ40nmであることがある。次に、SOIウェーハはNFET領域だけを覆うように、露光されてエッチングされたフォトレジスト層706によって被覆される。次に、従来のリアクティブイオンエッチング(RIE)は、パターニングされたフォトレジスト層706をマスクとして用いて行われ、その結果としてPFETのゲート703の側壁上のサイドウォールスペーサ709が生じる。サイドウォールスペーサ709は、例えばそれぞれ幅およそ40nmであることがある。
図8を参照すると、フォトレジスト層706は除去される。次に、シリコン基体701の露出した部分は、マスク層704とキャップ708をマスクとして用いて従来のRIEによって選択的に後退され、その結果として各々が溝801を有する窪んだ部分802を生ずる。溝801は、例えば残っている窪んだ部分802が例えば厚さおよそ10nmであるようなシリコン基体701中への深さがおよそ40−60nmであることがある。
図9を参照すると、ボロンはシリコン基体701中の窪んだ部分801の下面901と側壁902へ次に注入され、そして結果としてボロンがドープされたp型領域が表面901と902の下へ生じる。例えば、およそ3KeV、1×1015cm−2の二弗化ボロン(BF2)の注入は、定位からおよそ15度のアングルで用いられても良い。このボロン「プレドーピング」は、図20に説明された、後のドーピングステップでボロンのより低いエネルギー量が用いられることを許す。
その後、図10を参照すると、SiGe層1001は、その後溝801上にエピタキシャル成長される。SiGe層1001は、例えばおよそ50−70nmの厚さであることがある。その上に、そのようなエピタキシャル成長中、あるいはそのエピタキシャル成長の後、少なくともボロン中のボロンのうちのいくらかは、下面901と902が、SiGe層1001のより暗い部分によって図10に示されるように、SiGe層1001へ拡散する表面の下のp型領域をドープした。その上に、少なくとも表面901の下のボロンのうちのいくらかは、シリコン基体701へボロンがBOX層710に接するようなものを拡散する。まだ、また図10に示されるように、表面902の近くのより多くのボロンがわずかにチャネルの方へ拡散する。SiGe層1001はゲート703より下のPFETチャネルに圧縮応力を提供し、それによってPFETの性能を向上させる。
図11を参照すると、マスク層704とキャップ707,708はその後除去される。
その後、図12を参照すると、オフセットスペーサ(第1の酸化物層のような)1201は、その後ゲート702,703の側壁上に形成される。オフセットスペーサ1201はそれぞれ、ゲート702,703のそれぞれの1つの長さを拡張し、それぞれ例えば幅およそ10nmであることがある。
図13と14を参照すると、その後、N型エクステンションとp型ハロー注入は、フォトレジストマスク層1302と1401を用いて、領域1301中のNFET及びPFET(領域1402中)上に選択的にそれぞれ行われる。一般に、エクステンション注入は、ハロー注入より高いドーズ量であっても良い。例えば、NFET領域において、砒素(As)のエクステンション注入は、およそ2KeV、2×1015cm−2が用いられても良く、およそ10KeV、8×1013cm−2のボロン(B)のハロー注入では、定位からおよそ30度のアングルが用いられても良い。また、PFET領域において、例えばおよそ3KeV、1×1015cm−2の二弗化ボロン(BF)のエクステンション注入が用いられても良く、及びおよそ60KeV、5×1013cm−2のヒ素(As)のハロー注入では、定位からおよそ30度のアングルが用いられても良い。フォトレジストマスク層1302,1401はそれぞれ続いて除去される。
図15を参照すると、第3のSiN層1501はその後ウェーハ上に堆積される。第3のSiN層1501は、例えば厚さおよそ50nmであることがある。次に、オフセットスペーサ(第2の酸化物層のような)1502の別のセットは、従来のブランケットRIE技術を用いて、第3のSiN層1501上に形成される。これらのオフセットスペーサ1502はそれぞれ、例えば幅およそ40nmであることがある。
図16を参照すると、ディープソース/ドレイン注入は、その後フォトレジストマスク層1601を用いてNFET上だけに行われる。例えば45KeV、1×1015cm−2のリン(P)の注入が用いられても良い。見ることができるように、領域1602は第3のSiN層1501によって高エネルギーリンの染み込みにより形成される。
図17を参照すると、オフセットスペーサ1502は、その後NFET(しかしPFETではない)から取り除かれる。
図18を参照すると、狭いスペーサ1801はNFETゲート702の対向する側面上へ形成され、そして第3のSiN層1501上に従来のブランケットRIEを行うことにより、PFETの対向する側面上へ広いスペーサ1802を積層する。狭いスペーサ1801は、例えば幅およそ40nmであることがある、また広いスペーサ1802は、例えば幅およそ90nmであることがある。
図19と20を参照すると、ソース/ドレイン・ドーピングはフォトレジストマスク層1901と2001をそれぞれ用いて、NFETとPFET上に行われる。PFETのp型のドーピングは、浅く、すなわち軽い注入(それはゲート703の中へのボロン染み込みがほとんどない結果として生じる)を用いて行われる。これは、図9に関して既に生じたPFETのソース/ドレイン領域のプレドーピングにより実現可能である。例えば、NFET領域において、およそ15KeV、2×1015cm−2の砒素(As)注入が用いられても良く、そしてPFET領域において、およそ5KeV、2.5×10cm−2の二弗化ボロン(BF2)注入が用いられても良い。その後、フォトレジスト層1901,2001は個々のそれぞれの注入の後に除去される。重いN型ドーピングの結果、図19に示されるように領域1902が形成される。また、浅いP形ドーピングの結果、図20に示されるように領域2002が形成される。これらのステップを行うことによって、後で生じるであろうPFETのゲート703下のチャネル中へのボロン染み込みは、そのために著しく低減されるか、すべて更に回避される。
図21を参照すると、活性化アニーリングは行われ、ゲート703及び704は、十分にドープしたゲート2101と2102にそれぞれ結果として生じ、十分にドープされるようになり、NFETとPFETのソース/ドレインと同様にこれらの領域が下方向へ成長してシリコン基体701の下で直ちにBOX層710に接するようになる。
図22を参照すると、ニッケルシリサイド層2201は、NFETとPFETのソース/ドレイン領域としてゲート2101,2102上に同様に形成される。
従って、新しい構成と、そのような構成を製造する方法が記述され、それはトランジスタチャネルへボロン染み込みを更に減らすか回避する間に完全にドープされたトランジスタのソース/ドレイン領域を備え、そのためにトランジスタの性能が向上される。その上、そのようなトランジスタは、トランジスタチャネルに圧縮応力を印加するSiGe層から利益を得、それによって、更にトランジスタの性能を向上させる。
本発明とそれの利点についてのより完全な理解は、参照番号が類似の特徴を示すように、添附図面を考慮して次の記述に言及することにより得られても良い。
図1は、低い量のドーピングの結果として生じる、SOIデバイスの従来の低エネルギーのボロンドーピングを示す。 図2は、高い量のドーピングの結果として生じる、SOIデバイスの従来の高エネルギーのボロンドーピングを示す。 図3は、エピタキシャルSiGe層を持っているSOIデバイスを製造する際に実行されても良い実例となる主ステップを示す。 図4は、エピタキシャルSiGe層を持っているSOIデバイスを製造する際に実行されても良い実例となる主ステップを示す。 図5は、エピタキシャルSiGe層を持っているSOIデバイスを製造する際に実行されても良い実例となる主ステップを示す。 図6は、エピタキシャルSiGe層を持っているSOIデバイスを製造する際に実行されても良い実例となる主ステップを示す。 図7は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図8は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図9は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図10は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図11は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図12は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図13は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図14は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図15は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図16は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図17は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図18は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図19は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図20は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図21は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。 図22は、エピタキシャルSiGe層を持っている別のSOIデバイスを製造する際に実行されても良い実例となるステップを示す。

Claims (14)

  1. シリコン基体上にトランジスタゲートを形成することと、
    前記ゲートの対向している側面上に前記シリコン基体の窪んだ部分を形成することと、
    前記窪んだ部分に第1のドーピングを行うことと、
    前記第1のドーピングを行うステップの後に、前記窪んだ部分上にSiGe層を形成することと、
    前記SiGe層を形成した後、前記ゲートに第2のドーピングを行うことと
    を具備する半導体装置の形成方法。
  2. 前記請求項1の方法において、そこでは前記第1のドーピングを行うステップは、前記窪んだ部分上に約3KeV、1×1015cm−2でBF2を注入することを含んでいる。
  3. 前記請求項2の方法において、そこでは前記第2のドーピングを行うステップは、前記窪んだ部分上に約5KeV、2.5×1015cm−2でBF2を注入することを含んでいる。
  4. 前記請求項1の方法において、そこでは前記第1のドーピングを行うステップは、各々の前記窪んだ部分の下面と側壁の両方にドーピングすることを含んでいる。
  5. 前記請求項1の方法において、
    前記ゲートの対向する側面の各々の上にサイドウォールスペーサを形成することと、
    前記各サイドウォールスペーサを除去することと、
    エクステンション形成を実行すること
    とを更に含み、
    そこでは前記第1のドーピングの実行と前記窪んだ部分を形成するステップは、前記サイドウォールスペーサが除去される前に実行される。
  6. 前記請求項1の方法において、そこではゲート下のシリコン基体中のトランジスタチャネル領域は、第1のドーピングと第2のドーピングを実行する両方のステップでボロンが浸透されない。
  7. 前記請求項6の方法において、そこではトランジスタゲートはポリシリコンを含み、前記シリコン基体上に約100nm以下延びる。
  8. 前記請求項6の方法において、そこでは第2のドーピングを実行するステップは、ゲートの第2のドーピングを実行することを含んでいる。
  9. 前記請求項1の方法において、そこではシリコン基体は埋め込み酸化物層より上に配置され、且つそこでは第1のドーピングを実行するステップの結果として、埋め込み酸化物層にそれぞれ接するシリコン基体中の1ペアのソース/ドレイン領域を生じる。
  10. 埋め込み酸化物層上に配置されたシリコン基体を含むシリコン・オン・インシュレーター・ウェーハと、
    窪んだ部分の間のシリコン基体上に配置されたトランジスタゲート、1ペアのソース/ドレイン領域、及びシリコン基体中のチャネル領域を含むトランジスタとを具備し、
    そこではソース/ドレイン領域には、埋め込み酸化物層の各々に接するようにボロンイオンが注入され、また、そこではチャネル領域にはボロンイオンは浸透されない半導体装置。
  11. 前記請求項10の半導体装置において、チャネル領域の対向している側面上のシリコン基体の窪んだ部分上に配置されたSiGe層を更に含む。
  12. 前記請求項10の半導体装置において、そこではソース/ドレイン領域は二弗化ボロンでドープされる。
  13. 前記請求項10の半導体装置において、そこではトランジスタゲートはボロンイオンでドープされる。
  14. 前記請求項10の半導体装置において、そこではトランジスタゲートはポリシリコンを含み、前記シリコン基体上に約100nm以下延びる。
JP2006114623A 2005-04-18 2006-04-18 ポリの高さ低減のためのSOI底プレドーピングを合併したe−SiGe Abandoned JP2006319326A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/107,843 US7605042B2 (en) 2005-04-18 2005-04-18 SOI bottom pre-doping merged e-SiGe for poly height reduction

Publications (2)

Publication Number Publication Date
JP2006319326A true JP2006319326A (ja) 2006-11-24
JP2006319326A5 JP2006319326A5 (ja) 2007-11-29

Family

ID=37109033

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006114623A Abandoned JP2006319326A (ja) 2005-04-18 2006-04-18 ポリの高さ低減のためのSOI底プレドーピングを合併したe−SiGe

Country Status (4)

Country Link
US (1) US7605042B2 (ja)
JP (1) JP2006319326A (ja)
CN (1) CN100477123C (ja)
TW (1) TWI307532B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8222706B2 (en) 2009-09-10 2012-07-17 Fujitsu Semiconductor Limited Semiconductor device

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007281038A (ja) * 2006-04-03 2007-10-25 Toshiba Corp 半導体装置
CN103794559A (zh) * 2012-10-29 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
CN104217953B (zh) * 2013-06-05 2017-06-13 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制作方法
CN104425281B (zh) * 2013-09-09 2018-08-24 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9281196B2 (en) * 2013-12-31 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce etch variation using ion implantation
CN105990142A (zh) * 2015-02-03 2016-10-05 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0459763B1 (en) * 1990-05-29 1997-05-02 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistors
JPH06310719A (ja) * 1993-04-19 1994-11-04 Sharp Corp Ge−SiのSOI型MOSトランジスタ及びその製造方法
US5572040A (en) * 1993-07-12 1996-11-05 Peregrine Semiconductor Corporation High-frequency wireless communication system on a single ultrathin silicon on sapphire chip
JPH10326837A (ja) * 1997-03-25 1998-12-08 Toshiba Corp 半導体集積回路装置の製造方法、半導体集積回路装置、半導体装置、及び、半導体装置の製造方法
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6541343B1 (en) * 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6303450B1 (en) * 2000-11-21 2001-10-16 International Business Machines Corporation CMOS device structures and method of making same
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
JP3626734B2 (ja) * 2002-03-11 2005-03-09 日本電気株式会社 薄膜半導体装置
US6780686B2 (en) * 2002-03-21 2004-08-24 Advanced Micro Devices, Inc. Doping methods for fully-depleted SOI structures, and device comprising the resulting doped regions
TW530385B (en) 2002-03-27 2003-05-01 Taiwan Semiconductor Mfg CMOS with strain-balanced structure and method of manufacturing the same
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6911379B2 (en) 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
CN1303656C (zh) * 2004-06-18 2007-03-07 北京大学 一种准soi场效应晶体管器件的制备方法
US7138309B2 (en) * 2005-01-19 2006-11-21 Sharp Laboratories Of America, Inc. Integration of biaxial tensile strained NMOS and uniaxial compressive strained PMOS on the same wafer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8222706B2 (en) 2009-09-10 2012-07-17 Fujitsu Semiconductor Limited Semiconductor device
US8563382B2 (en) 2009-09-10 2013-10-22 Fujitsu Semiconductor Limited Semiconductor device

Also Published As

Publication number Publication date
CN1855391A (zh) 2006-11-01
CN100477123C (zh) 2009-04-08
US20060234432A1 (en) 2006-10-19
US7605042B2 (en) 2009-10-20
TWI307532B (en) 2009-03-11
TW200644129A (en) 2006-12-16

Similar Documents

Publication Publication Date Title
JP4439486B2 (ja) 半導体装置
US9425102B2 (en) FinFETs with different fin heights
US6372559B1 (en) Method for self-aligned vertical double-gate MOSFET
JP4493536B2 (ja) 半導体装置及びその製造方法
US8673709B2 (en) FinFETs with multiple fin heights
US8716090B2 (en) Semiconductor device manufacturing method
US8278179B2 (en) LDD epitaxy for FinFETs
US7449753B2 (en) Write margin improvement for SRAM cells with SiGe stressors
KR101811796B1 (ko) 급경사 접합 프로파일을 갖는 소스/드레인 영역들을 구비하는 반도체 소자 및 그 제조방법
US8802533B1 (en) Semiconductor device and method of manufacturing the same
US7410875B2 (en) Semiconductor structure and fabrication thereof
JP5630185B2 (ja) 半導体装置及びその製造方法
US8329550B2 (en) Method of fabricating transistor for semiconductor device
US8318571B2 (en) Method for forming P-type lightly doped drain region using germanium pre-amorphous treatment
JP2012186281A (ja) 半導体装置及びその製造方法
JP2006319326A (ja) ポリの高さ低減のためのSOI底プレドーピングを合併したe−SiGe
US20060001105A1 (en) Semiconductor device having optimized shallow junction geometries and method for fabrication thereof
US7915128B2 (en) High voltage semiconductor devices
KR100728953B1 (ko) 반도체 소자의 제조방법
KR0146525B1 (ko) 반도체 소자의 트랜지스터 제조방법
JPH09312397A (ja) 半導体装置およびその製造方法
US20050247976A1 (en) Notched spacer for CMOS transistors
JP5854104B2 (ja) 半導体装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071011

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071011

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20090413