CN1855391A - 用于减小多晶硅高度的SOI底部预掺杂合并e-SiGe - Google Patents

用于减小多晶硅高度的SOI底部预掺杂合并e-SiGe Download PDF

Info

Publication number
CN1855391A
CN1855391A CNA200610075110XA CN200610075110A CN1855391A CN 1855391 A CN1855391 A CN 1855391A CN A200610075110X A CNA200610075110X A CN A200610075110XA CN 200610075110 A CN200610075110 A CN 200610075110A CN 1855391 A CN1855391 A CN 1855391A
Authority
CN
China
Prior art keywords
silicon body
grid
transistor
boron
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200610075110XA
Other languages
English (en)
Other versions
CN100477123C (zh
Inventor
幸山裕亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of CN1855391A publication Critical patent/CN1855391A/zh
Application granted granted Critical
Publication of CN100477123C publication Critical patent/CN100477123C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/812Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a Schottky gate
    • H01L29/8126Thin film MESFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

描述了半导体器件结构和制作该结构的方法,该结构提供有完全掺杂的晶体管源/漏区,同时减少甚至避免硼穿透进入晶体管沟道,从而改善了晶体管的性能。此外,这种晶体管受益于对晶体管沟道施加压应力的SiGe层(401),从而进一步改善了晶体管的性能。

Description

用于减小多晶硅高度的SOI底部预掺杂合并e-SiGe
技术领域
本发明的各方面一般地涉及半导体器件及其制造方法,并且更具体地涉及提供一种对晶体管的源/漏区掺杂同时减少硼穿透进入晶体管沟道的途径,并且也在同一晶体管中提供了向晶体管沟道施加压应力的SiGe层。
背景技术
各种类型的硅晶体管经常包括多晶硅栅。存在着许多影响栅的合适高度的因素。例如,将栅制作得过高会导致诸如在最终产品中的不希望的寄生电容的问题以及注入遮蔽问题(implant shadowingproblem)(尤其在多个栅按照小尺度间距重复时),以及导致在制造期间多晶硅蚀刻时的困难。因此,希望限制多晶硅栅的高度。
另一方面,将栅制作得过短会导致其它的问题,例如,在晶体管制造期间,存在着在或者允许不希望的硼穿透进入晶体管沟道、或者对晶体管的源/漏区掺杂不充分之间的折衷。例如,参照图1,所说明的传统硅器件具有包括硅本体1、硅本体1紧邻下面的埋置氧化物(BOX)层4和BOX层4下面的衬底(未示出)的绝缘体上硅(SOI)晶片结构。该器件具有包括多晶硅栅2和源/漏区3的晶体管。为了产生源/漏区3,该区域由硼离子来掺杂。栅2也同时由硼离子来掺杂。在图1中,为了避免硼离子穿透进入栅2下方的的沟道中,采用低能量剂量的硼离子。尽管成功地避免了沟道硼穿透,但低能量剂量的副作用是源/漏区3没有被充分掺杂使其毗邻BOX层4。换句话说,在源/漏区3和BOX层4之间存在着间隙。这会导致不希望的高结电容。
参照图2,这次采用更高能量剂量的硼离子。结果,现在源/漏区3合适地毗邻BOX层4,因此降低了结电容。然而,为了充分掺杂源/漏区3,副作用是硼离子已经完全穿透栅2而进入下方硅本体1的沟道部分。这种沟道硼穿透导致沟道迁移率的极大恶化,这是非常不希望的。因此,需要一种充分掺杂晶体管源/漏区而又不允许不希望量的硼离子穿透进入沟道的途径。
此外,已经发现在晶体管的栅和沟道的相对侧面使用硅锗(SiGe)层可以明显地改善晶体管的性能。这是由通过SiGe层作用在沟道上的压力而导致的。因此,也希望找到一种途径制造包括这样的SiGe层的晶体管,仍然对源/漏区完全掺杂而没有同时最小化或另外减少沟道硼穿透。
发明内容
本发明的一方面涉及诸如在绝缘体上硅(SOI)晶片上制造半导体器件的方法,该半导体器件具有源/漏区被硼离子充分掺杂同时减少或者甚至避免硼穿透晶体管沟道的晶体管。这可以得到具有优异工作特性的晶体管,如低结电容。可以不需要制造额外高的晶体管栅来制造这种器件,其可产生具有低寄生电容的晶体管,同时利用较简单和便宜的一组制造步骤。除了实现上述内容,该制造工艺还允许添加在晶体管沟道上提供压应力的硅锗(SiGe)层,从而提高P型晶体管沟道内的空穴迁移率。
本发明的另一方面涉及制造上述半导体器件的方法,使得上述晶体管是P型场效应晶体管(PFET),其中该方法还允许在同一晶片上同时制造N型场效应晶体管(NFET)。
本发明的仍另一方面涉及由上述制造方法得到的半导体器件和/或晶体管。
在考虑以下对示例性实施方式的详细描述时,将清楚本发明的这些和其它方面。
附图说明
通过考虑附图参照下文的描述可以获得对本发明及其优点的更完整的理解,其中类似的参考数字表示类似的特征。
图1表示导致低剂量掺杂的对SOI器件的传统低能量硼掺杂。
图2表示导致高剂量掺杂的对SOI器件的传统高能量硼掺杂。
图3-6表示可以在制造具有外延SiGe层的SOI器件中进行的示例性主要步骤。
图7-22表示可以在制造具有外延SiGe层的另一SOI器件中进行的示例性步骤。
具体实施方式
现在将结合图3-6描述在示例性的制造工艺中的概述的重要某些步骤,所述附图按照在示例性工艺中执行的连续次序来显示。参照图3,所示出的传统SOI晶片的一部分包括硅本体301。在硅本体301上形成P型场效应晶体管(PFET)多晶硅栅302,在栅302的侧壁上形成侧壁隔层304。栅302也由SiN层303作为帽盖。硅本体301的凹陷部分305通过硼注入而预掺杂。随后,在图4中,在凹陷部分305上外延生长SiGe层401。在图5中执行扩展形成之后,然后在图6中,采用低能量的硼注入栅302和源/漏区,从而减少甚至避免硼穿透进入栅302下方的沟道。这种低能量的硼可以具有例如2-10KeV范围内的能量,如大约5KeV。
现在将结合图7-22讨论对示例性制造工艺的更详细的描述,所述附图按照在示例性工艺中执行的连续次序来显示。首先参照图7,所示出的传统SOI晶片的一部分包括具有嵌入的浅沟槽隔离(STI)层705的硅本体701。硅本体701可以是例如大约50-70纳米厚,而STI层705可以是例如大约60-80纳米厚。在BOX层710上设置硅本体701,STI层705稍微地延伸到BOX层710中。BOX层710可以是例如大约150纳米厚。BOX层710又设置在衬底(未示出)上。在STI层705的相对侧面,在硅本体701上按照传统的方式形成N型场效应晶体管(NFET)栅702和PFET栅703。栅702和703可以是例如大约100纳米高度或更小,并且可以设置在具有薄栅氧化物层(未示出)的硅本体701上。然后,按照传统的方式在栅702和703的顶部沉积第一SiN层,以形成帽盖707和708。帽盖707和708可以是例如大约50纳米厚度或更小。按照传统的方式,对栅702和703的侧壁再氧化(在栅702和703的侧壁上导致未示出的大约5纳米宽的再氧化层),并在硅晶片的整个表面上沉积掩模层704(如第二SiN层)。掩模层704可以是例如大约40纳米的厚度。随后,SOI晶片由光致抗蚀剂层706覆盖,对其曝光和蚀刻从而仅覆盖NFET区域。随后,使用经图形化的光致抗蚀剂层706作为掩模执行传统的反应离子蚀刻(RIE),得到PFET栅703的侧壁上的侧壁隔层709。侧壁隔层709可以各为例如大约40纳米的宽度。
参照图8,去除光致抗蚀剂层706。然后,采用掩模层704和帽盖708作为掩模,通过传统的RIE选择性的凹陷硅本体701的暴露部分,以得到各具有凹陷801的凹陷部分802。凹陷801可以是例如进入硅本体701大约40-60纳米的深度,使得剩余的凹陷部分802为例如大约10纳米的厚度。
参照图9,然后向硅本体701中凹陷区801的下表面901和侧壁902中注入硼,得到表面901和902下面的硼掺杂P型区。例如,可以采用大约3KeV、1×1015cm-2、偏离法线大约15度的角度的二氟化硼(BF2)注入。这种硼“预掺杂”允许在图20中说明的随后掺杂步骤中采用更低的能量剂量的硼。
参照图10,然后在凹陷区801上外延生长SiGe层1001。SiGe层1001可以是例如大约50-70纳米的厚度。此外,在该外延生长期间或之后,在表面901和902下面的掺硼P型区中至少一些硼扩散到SiGe层1001中,在图10中由SiGe层1001的较暗部分表示。此外,表面901下方的至少一些硼扩散到硅本体701中,使得硼毗邻BOX层710。表面902附近另外的更多的硼轻微地朝沟道扩散,如图10所示。SiGe层1001对栅703下方的PFET沟道提供压应力,从而改善了PFET的性能。
参照图11,然后去除掩模层704和帽盖707、708。
参照图12,然后在栅702、703的侧壁上形成偏置隔层(offsetspacer)(如第一氧化物层)1201。偏置隔层1201各自可以延伸栅702、703的相应的长度,并且可以各自是例如大约10纳米的宽度。
参照图13和14,然后采用光致抗蚀剂掩模层1302和1401,在区域1301中的NFET上和在PFET(在区域1402中)分别选择性地执行N型扩展和P型晕圈注入(halo implantation)。通常,扩展注入可以是比晕圈注入更高的剂量。例如,在NFET区,采用大约2KeV、2×1015cm-2的砷(As)扩展注入,以及采用大约10KeV、8×1013cm-2、偏离法线大约30度角度的硼(B)晕圈注入。并且,在PFET区,采用例如大约3KeV、1×1015cm-2的二氟化硼(BF2)扩展注入,以及采用大约60KeV、5×1013cm-2、偏离法线大约30度角度的砷(As)晕圈注入。随后可以分别去除光致抗蚀剂掩模层1302、1401。
参照图15,然后在晶片上沉积第三SiN层1501。第三SiN层1501可以是例如大约50纳米的厚度。随后,采用传统的覆盖RIE技术,在第三SiN层1501上形成另一组偏置隔层(如第二氧化物层)1502。这些偏置隔层1502可以各自是例如大约40纳米的宽度。
参照图16,然后采用光致抗蚀剂掩模层1601,仅在NFET上执行深源/漏注入。例如,可以采用45Kev、1×1015cm-2的磷(P)注入。如同可以看到的那样,由于高能量的磷穿过第三SiN层1501而形成了区域1602。
参照图17,然后从NFET(但不从PFET)去除偏置隔层1502。
参照图18,在NFET栅702的相对侧面上形成窄隔层1801,并通过在第三SiN层1501上执行传统的覆盖RIE而在PFET栅703的相对侧面上形成堆叠的宽隔层1802。窄隔层1801可以是例如大约40纳米的宽度,而宽隔层1802可以是例如大约90纳米的宽度。
参照图19和20,分别采用光致抗蚀剂掩模层1901和2001在NFET和PFET上执行源/漏掺杂。采用浅或轻注入(导致少量或没有硼穿透进入栅703),执行PFET的P型掺杂。这是可行的,因为结合图9已经发生了对PFET的源/漏区的预掺杂。例如,在NFET区中,可以采用大约15KeV、2×1015cm-2的砷(As)注入,而在PFET区中,可以采用大约5KeV、2.5×1015cm-2的二氟化硼(BF2)注入。然后在各自分别的注入之后去除光致抗蚀剂层1901、2001。作为重N型掺杂的结果,如图19所示形了区域1902。同样,作为浅P型掺杂的结果,如图20所示形成了区域2002。通过执行这些步骤,不然之后可能发生的硼穿透进入PFET栅703下方的沟道被明显地减少,甚至被完全避免。
参照图21,执行激活退火,使得栅703和704被很好地掺杂,分别得到被很好地掺杂的栅2101和2102以及NFET和PFET源/漏区,使得这些区域向下生长以毗邻硅本体701紧邻下方的BOX层710。
参照图22,在栅2101、2102以及NFET和PFET的源/漏区上形成硅化镍层2201。
因而已经描述了新结构和用于制作该结构的方法,该新结构提供有完全掺杂的晶体管源/漏区,同时减少甚至避免硼穿透进入晶体管沟道,从而改善晶体管的性能。此外,这样的晶体管可以受益于对晶体管沟道施加压应力的SiGe层,从而进一步改善了晶体管的性能。

Claims (14)

1.一种用于形成半导体器件的方法,特征在于包括:
在硅本体上形成晶体管栅;
在栅的相对侧面上形成硅本体的凹陷部分;
对该凹陷部分执行第一掺杂;
在执行第一掺杂的步骤之后,在凹陷部分上形成SiGe层;以及
在形成SiGe层之后,对栅执行第二掺杂。
2.根据权利要求1的方法,特征在于所述执行第一掺杂的步骤包括在所述凹陷部分上以大约3KeV、1×1015cm-2执行BF2注入。
3.根据权利要求2的方法,特征在于所述执行第二掺杂的步骤包括以大约5KeV、2.5×1015cm-2执行BF2注入。
4.根据权利要求1的方法,特征在于所述执行第一掺杂的步骤包括对所述凹陷部分的每一个的下表面和侧壁二者掺杂。
5.根据权利要求1的方法,还包括:
在所述栅的相对侧面的每一个上形成侧壁隔层;以及
去除每个侧壁隔层;以及
执行扩展形成,
其中所述执行第一掺杂和形成凹陷部分的步骤在去除该侧壁隔层之前执行。
6.根据权利要求1的方法,特征在于在所述执行第一掺杂和第二掺杂的步骤二者中,在栅下方的硅本体中的晶体管沟道区不被硼渗透。
7.根据权利要求6的方法,特征在于所述晶体管栅包括多晶硅,并在所述硅本体上方延伸不大于大约100纳米。
8.根据权利要求6的方法,特征在于所述执行第二掺杂的步骤包括执行对栅的第二掺杂。
9.根据权利要求1的方法,特征在于所述硅本体被设置在埋置氧化物层的上方,并且其中执行第一掺杂的步骤在所述硅本体中得到各自毗邻该埋置氧化物层的一对源/漏区。
10.一种半导体器件,特征在于包括:
绝缘体上硅晶片,包括设置在埋置氧化物层上方的硅本体;以及
晶体管,包括:
设置在硅本体上凹陷之间的晶体管栅,
一对源/漏区,以及
硅本体中的沟道区,
其中该源/漏区采用硼离子来掺杂从而各自毗邻该埋置氧化物层,并且其中该沟道区不被硼离子穿透。
11.根据权利要求10的半导体器件,还包括设置在所述沟道区的相对侧面上所述硅本体的凹陷部分上的SiGe层。
12.根据权利要求10的半导体器件,特征在于所述源/漏区采用二氟化硼来掺杂。
13.根据权利要求10的半导体器件,特征在于所述晶体管栅采用硼离子来掺杂。
14.根据权利要求10的半导体器件,特征在于所述晶体管栅包括多晶硅,并在所述硅本体上方延伸不大于大约100纳米。
CNB200610075110XA 2005-04-18 2006-04-18 用于减小多晶硅高度的SOI底部预掺杂合并e-SiGe Expired - Fee Related CN100477123C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/107,843 2005-04-18
US11/107,843 US7605042B2 (en) 2005-04-18 2005-04-18 SOI bottom pre-doping merged e-SiGe for poly height reduction

Publications (2)

Publication Number Publication Date
CN1855391A true CN1855391A (zh) 2006-11-01
CN100477123C CN100477123C (zh) 2009-04-08

Family

ID=37109033

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200610075110XA Expired - Fee Related CN100477123C (zh) 2005-04-18 2006-04-18 用于减小多晶硅高度的SOI底部预掺杂合并e-SiGe

Country Status (4)

Country Link
US (1) US7605042B2 (zh)
JP (1) JP2006319326A (zh)
CN (1) CN100477123C (zh)
TW (1) TWI307532B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103794559A (zh) * 2012-10-29 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
CN104217953A (zh) * 2013-06-05 2014-12-17 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制作方法
CN104752227A (zh) * 2013-12-31 2015-07-01 台湾积体电路制造股份有限公司 使用离子注入降低蚀刻偏差的方法
CN105990142A (zh) * 2015-02-03 2016-10-05 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007281038A (ja) * 2006-04-03 2007-10-25 Toshiba Corp 半導体装置
JP5287621B2 (ja) 2009-09-10 2013-09-11 富士通セミコンダクター株式会社 半導体装置
CN104425281B (zh) * 2013-09-09 2018-08-24 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0459763B1 (en) * 1990-05-29 1997-05-02 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistors
JPH06310719A (ja) * 1993-04-19 1994-11-04 Sharp Corp Ge−SiのSOI型MOSトランジスタ及びその製造方法
US5572040A (en) * 1993-07-12 1996-11-05 Peregrine Semiconductor Corporation High-frequency wireless communication system on a single ultrathin silicon on sapphire chip
JPH10326837A (ja) * 1997-03-25 1998-12-08 Toshiba Corp 半導体集積回路装置の製造方法、半導体集積回路装置、半導体装置、及び、半導体装置の製造方法
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6541343B1 (en) * 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6303450B1 (en) * 2000-11-21 2001-10-16 International Business Machines Corporation CMOS device structures and method of making same
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
JP3626734B2 (ja) * 2002-03-11 2005-03-09 日本電気株式会社 薄膜半導体装置
US6780686B2 (en) * 2002-03-21 2004-08-24 Advanced Micro Devices, Inc. Doping methods for fully-depleted SOI structures, and device comprising the resulting doped regions
TW530385B (en) 2002-03-27 2003-05-01 Taiwan Semiconductor Mfg CMOS with strain-balanced structure and method of manufacturing the same
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6911379B2 (en) 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
CN1303656C (zh) * 2004-06-18 2007-03-07 北京大学 一种准soi场效应晶体管器件的制备方法
US7138309B2 (en) * 2005-01-19 2006-11-21 Sharp Laboratories Of America, Inc. Integration of biaxial tensile strained NMOS and uniaxial compressive strained PMOS on the same wafer

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103794559A (zh) * 2012-10-29 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
CN104217953A (zh) * 2013-06-05 2014-12-17 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制作方法
CN104217953B (zh) * 2013-06-05 2017-06-13 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制作方法
CN104752227A (zh) * 2013-12-31 2015-07-01 台湾积体电路制造股份有限公司 使用离子注入降低蚀刻偏差的方法
CN104752227B (zh) * 2013-12-31 2018-04-10 台湾积体电路制造股份有限公司 使用离子注入降低蚀刻偏差的方法
CN105990142A (zh) * 2015-02-03 2016-10-05 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法

Also Published As

Publication number Publication date
TWI307532B (en) 2009-03-11
TW200644129A (en) 2006-12-16
US7605042B2 (en) 2009-10-20
JP2006319326A (ja) 2006-11-24
CN100477123C (zh) 2009-04-08
US20060234432A1 (en) 2006-10-19

Similar Documents

Publication Publication Date Title
KR100265227B1 (ko) 씨모스 트랜지스터의 제조 방법
US7719064B2 (en) High voltage CMOS devices
CN100474618C (zh) 用于增强PFET迁移率的埋有台阶的SiGe结构
DE102007009914B4 (de) Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben
US6717211B2 (en) Shallow doped junctions with a variable profile gradation of dopants
US8168501B2 (en) Source/drain strained layers
CN101578692A (zh) 多层源极/漏极应力源
US20020055220A1 (en) Integration of high voltage self-aligned MOS components
CN100477123C (zh) 用于减小多晶硅高度的SOI底部预掺杂合并e-SiGe
US20080073745A1 (en) High-voltage MOS device improvement by forming implantation regions
CN1716554A (zh) 一种p型mosfet的结构及其制作方法
CN101517741B (zh) 具有包含性能增进材料成分的受应变沟道区的晶体管
US20080265292A1 (en) Novel HVNMOS structure for reducing on-resistance and preventing BJT triggering
US6696729B2 (en) Semiconductor device having diffusion regions with different junction depths
KR100941742B1 (ko) N-채널 및 p-채널 트랜지스터들의 개별적인 최적화를위한 차등 스페이서들을 형성하는 방법
CN107452627A (zh) 半导体装置的制造方法
DE102013227069A1 (de) Metalloxidhalbleitereinrichtungen und herstellungsverfahren
CN100481457C (zh) 半导体器件以及其制造方法
CN101174567A (zh) 半导体结构及其制造方法
CN106033727A (zh) 场效应晶体管的制作方法
CN110176402A (zh) 一种fdsoi pmos浅掺杂离子注入方法
CN101477953A (zh) 晶体管及其制造方法
KR100306504B1 (ko) 저가의 미크론 이하의 깊이를 갖는 cmos 제조방법
CN101989550B (zh) Nmos晶体管的制造方法
US7229870B2 (en) Methods of fabricating semiconductor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090408

Termination date: 20130418