CN103426919B - 用于含铝栅极的无边界接触及其形成方法 - Google Patents

用于含铝栅极的无边界接触及其形成方法 Download PDF

Info

Publication number
CN103426919B
CN103426919B CN201310187855.5A CN201310187855A CN103426919B CN 103426919 B CN103426919 B CN 103426919B CN 201310187855 A CN201310187855 A CN 201310187855A CN 103426919 B CN103426919 B CN 103426919B
Authority
CN
China
Prior art keywords
dielectric
dielectric layer
contact
alumina
bearing material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310187855.5A
Other languages
English (en)
Other versions
CN103426919A (zh
Inventor
S·K·卡纳卡萨巴帕赛
D·V·霍拉克
H·加甘纳特汉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103426919A publication Critical patent/CN103426919A/zh
Application granted granted Critical
Publication of CN103426919B publication Critical patent/CN103426919B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location

Abstract

本发明是用于含铝栅极的无边界接触及其形成方法。运用含铝材料以形成取代栅极电极。在其中嵌入取代栅极电极的平坦化电介质层上方形成接触级电介质材料层。经过接触级电介质层形成至少一个接触过孔空腔。竖直地凹陷取代栅极电极的在至少一个接触过孔空腔的底部物理地暴露的任何部分。氧化取代栅极电极内的含铝材料的物理地暴露的部分以形成电介质铝化合物部分。随后将至少一个有源过孔空腔中的每个有源过孔空腔进一步延伸至下层有源区域,该下层有源区域可以是源极区域或者漏极区域。在至少一个有源过孔空腔中的每个有源过孔空腔内形成的接触过孔结构可以与取代栅极电极通过电介质铝化合物部分电隔离。

Description

用于含铝栅极的无边界接触及其形成方法
技术领域
本公开内容涉及半导体结构,并且具体地涉及一种包括用于含铝栅极的无边界接触的半导体结构及其制造方法。
背景技术
随着半导体器件在每代半导体技术中缩小,形成与场效应晶体管的源极和漏极区域的接触结构变得有挑战性。这样的接触结构不仅需要提供与有源区域(诸如源极和漏极区域)的可靠电接触,并且需要避免与其它部件(诸如场效应晶体管的栅极电极)电短接。由于用于各向异性蚀刻工艺的蚀刻化学性质在电介质间隔物的横向尺度随着半导体器件的缩放而缩小之时保持相同,所以在光刻工艺期间的覆盖变化引起形成如下接触结构的可能性随每代技术而增加,这些接触结构将有源区域电短接到场效应晶体管的栅极导体。
然而,与源极和漏极区域的接触结构必须避免电短接到栅极导体以提供有功能的场效应晶体管。因此,将源极/漏极区域电短接到场效应晶体管的栅极导体的可能性出于产品产量和可靠性的目的而为重要关注。
发明内容
运用含铝材料以形成取代栅极电极。在其中嵌入取代栅极电极的平坦化电介质层上方形成接触级电介质材料层。经过接触级电介质层形成至少一个接触过孔空腔。竖直地凹陷取代栅极电极的在至少一个接触过孔空腔的底部物理地暴露的任何部分。氧化取代栅极电极内的含铝材料的物理地暴露的部分以形成电介质铝化合物部分。随后将至少一个有源过孔空腔中的每个有源过孔空腔进一步延伸至下层有源区域,该下层有源区域可以是源极区域或者漏极区域。在至少一个有源过孔空腔中的每个有源过孔空腔内形成的接触过孔结构可以与取代栅极电极通过电介质铝化合物部分电隔离。
根据本公开内容的另一方面,提供一种半导体结构,该半导体结构包括:半导体器件,位于半导体衬底上,半导体器件包括含铝材料部分;接触级电介质层,位于含铝材料部分的最顶表面之上;接触过孔结构,经过接触级电介质层延伸并且与半导体器件的导电材料部分接触;以及电介质铝化合物部分,与含铝材料部分和接触过孔结构接触。
根据本公开内容的另一方面,提供一种形成半导体结构的方法,该方法包括:在半导体衬底上形成包括含铝材料部分的半导体器件;在含铝材料部分的最顶表面之上形成接触级电介质层;通过经过接触级电介质层并且向含铝材料部分的子部分中蚀刻来形成接触过孔空腔;并且将含铝材料部分的表面部分转换成电介质铝化合物部分。
附图说明
图1是根据本公开内容的第一实施例的在形成可抛弃栅极结构之后的第一示例半导体结构的竖直截面图。
图2是根据本公开内容的第一实施例的在形成电介质间隔物和有源区域之后的第一示例半导体结构的竖直截面图。
图3是根据本公开内容的第一实施例的在形成升高的有源区域和金属半导体合金部分之后的第一示例半导体结构的竖直截面图。
图4是根据本公开内容的第一实施例的在平坦化电介质层的沉积和平坦化之后的第一示例半导体结构的竖直截面图。
图5是根据本公开内容的第一实施例的在去除可抛弃栅极结构之后的第一示例半导体结构的竖直截面图。
图6是根据本公开内容的第一实施例的在形成栅极电介质层、功函数材料层和含铝材料层之后的第一示例半导体结构的竖直截面图。
图7是根据本公开内容的第一实施例的在形成取代栅极电极之后的第一示例半导体结构的竖直截面图。
图8是根据本公开内容的第一实施例的在沉积接触级电介质层、施加和图案化第一光刻胶层并且形成第一接触过孔空腔之后的第一示例半导体结构的竖直截面图。
图9是根据本公开内容的第一实施例的在竖直凹陷取代栅极电极的物理地暴露的表面之后的第一示例半导体结构的竖直截面图。
图10是根据本公开内容的第一实施例的在施加和图案化第二光刻胶层、形成第二接触过孔空腔并且竖直凹陷另一取代栅极电极的物理地暴露的表面之后的第一示例半导体结构的竖直截面图。
图11是根据本公开内容的第一实施例的在去除第二光刻胶层之后的第一示例半导体结构的竖直截面图。
图12是根据本公开内容的第一实施例的在氧化或者氮化取代栅极电极内的含铝材料的物理地暴露的表面部分之后的第一示例半导体结构的竖直截面图。
图13是根据本公开内容的第一实施例的在竖直地延伸第一和第二接触过孔空腔之后的第一示例半导体结构的竖直截面图。
图14是根据本公开内容的第一实施例的在形成至少一个栅极过孔空腔之后的第一示例半导体结构的竖直截面图。
图15是根据本公开内容的第一实施例的在形成有源区域接触过孔结构和栅极接触结构之后的第一示例半导体结构沿着图16的竖直平面Z-Z’的竖直截面图。
图16是第一示例半导体结构沿着图15的水平面X-X’的水平截面图。
图17是根据本公开内容的第二实施例的在回流取代栅极结构中的含铝材料部分之后的第二示例半导体结构的竖直截面图。
图18是根据本公开内容的第二实施例的在氧化或者氮化取代栅极电极内的含铝材料的物理地暴露的表面部分之后的第二示例半导体结构的竖直截面图。
图19是根据本公开内容的第二实施例的在竖直地延伸第一和第二接触过孔空腔之后的第二示例半导体结构的竖直截面图。
图20是根据本公开内容的第二实施例的在形成至少一个栅极过孔空腔之后的第二示例半导体结构的竖直截面图。
图21是根据本公开内容的第二实施例的在形成有源区域接触过孔结构和栅极接触结构之后的第二示例半导体结构沿着图16的竖直平面Z-Z’的竖直截面图。
具体实施方式
如上文所言,本公开内容涉及现在参照附图具体描述的一种包括用于含铝栅极的无边界接触的半导体结构及其制造方法。相似标号指代这里提到的并且在附图中图示的相似和对应元件。附图未必按比例绘制。
参照图1,根据本公开内容的第一实施例的第一示例半导体结构包括可以半导体衬底8,可以在该半导体衬底上随后形成至少一个半导体器件的各种部件。至少一个半导体器件可以例如包括场效应晶体管。半导体衬底8可以是遍及包括体半导体材料的体衬底或者如下绝缘体上半导体(SOI)衬底(未示出),该SOI衬底包含顶部半导体层、位于顶部半导体层之下的掩埋绝缘体层和位于掩埋绝缘体层之下的底部半导体层。
可以在不同掺杂剂浓度水平用p型或者n型电掺杂剂掺杂半导体衬底8中的半导体材料的各种部分。例如半导体衬底8可以包括下层半导体层10和掺杂的阱12。掺杂的阱12的导电类型可以与下层半导体层10的导电类型相同或者不同。
可以形成浅沟槽隔离结构20以从任何其它掺杂的阱(未示出)横向地分离掺杂的阱12。浅沟槽隔离结构20包括电介质材料,诸如氧化硅、氮化硅和/或氮氧化硅,并且可以横向地包围掺杂的阱12的上部分。
沉积并且光刻地图案化可抛弃电介质层和可抛弃栅极材料层以形成可抛弃栅极结构。例如每个可抛弃栅极结构可以包括可抛弃电介质部分27和可抛弃栅极材料部分29的堆叠。可抛弃电介质层可以包括电介质材料,诸如半导体氧化物。可抛弃栅极材料层可以包括可以随后相对于可抛弃电介质层的电介质材料有选择性去除的材料。在一个实施例中,可抛弃栅极材料层可以包括半导体材料。可选地,每个可抛弃栅极结构还可以包括栅极帽电介质部分(未示出),该栅极帽电介质部分包括电介质材料,诸如氧化硅或者氮化硅。
参照图2,例如通过沉积保形电介质材料层和去除保形电介质材料层的水平部分的各向异性蚀刻在每个可抛弃栅极结构的侧壁上形成电介质间隔物52。每个电介质间隔物52横向地包围可抛弃栅极结构(27,29)。如果待形成的至少一个半导体器件运用随后通过替换可抛弃栅极结构(27,29)的材料来形成的栅极电极,则电介质间隔物52可以是在形成栅极电极时横向地包围栅极电极的栅极间隔物。电介质间隔物52可以包括氮化硅、氧化硅或者氮氧化硅。
另外,在形成电介质间隔物52之前和/或之后向掺杂的阱12的区域中注入电掺杂剂以形成可以包括源极区域和漏极区域的掺杂的有源区域16。如这里所用,“有源区域”是指位于半导体衬底8内或者直接位于半导体衬底8上并且构成半导体器件的元件的半导体材料区域。有源区域可以是源极区域、漏极区域、本体区域或者半导体器件的任何半导体部件区域。如果在形成电介质间隔物52之前执行注入,则运用可抛弃栅极结构(27,29)作为注入掩模。如果在形成电介质间隔物52之后执行注入,则运用可抛弃栅极结构(27,29)与电介质间隔物52的组合作为注入掩模。
参照图3,可以例如通过与掺杂的有源区域16具有相同掺杂类型的掺杂的半导体材料的选择性外延可选地形成升高的有源区域56。升高的有源区域56位于半导体衬底8的最顶表面上和/或半导体衬底8的最顶表面上方。在一个实施例中,升高的有源区域56可以包括升高的源极区域和/或升高的漏极区域。在一个实施例中,在可抛弃栅极材料部分29的最顶部分上的任何半导体表面如果存在,则可以通过热或者等离子转换被转换成电介质材料(诸如半导体氧化物、半导体氮化物或者半导体氮氧化物)的薄层以避免在可抛弃栅极材料部分29的顶表面上沉积半导体材料。每个升高的有源区域56是导电材料部分。
可选地,可以例如通过在升高的有源区域56的顶表面上沉积金属并且使金属与升高的有源区域56的半导体材料反应来在升高的有源区域56上形成金属半导体合金部分66。相对于金属半导体合金部分66有选择性去除金属未反应的部分。在一个实施例中,可以在升高的源极区域、升高的漏极区域、形成为掺杂的有源区域16之一的平面源极区域(在省略形成升高的有源区域56的情况下)或者形成为掺杂的有源区域16之一的平面漏极区域(在省略形成升高的有源区域56的情况下)上形成金属半导体合金部分66。在这一情况下,金属半导体合金部分66可以包括源极侧金属半导体合金部分和/或漏极侧金属半导体合金部分。在一个实施例中,金属半导体合金部分66可以包括金属硅化物、金属锗化物或者金属硅锗化物。
参照图4,在可抛弃栅极结构(27,29)、电介质间隔物52、半导体衬底8以及任何升高的有源区域56和金属半导体合金部分66之上沉积平坦化电介质层60。可以通过沉积可以从未掺杂的硅酸盐玻璃(USG)、掺杂的硅酸盐玻璃、有机硅酸盐玻璃(OSG)、未掺杂的氮氧化硅和掺杂的氮氧化硅中选择的电介质材料来形成平坦化电介质层60。在一个实施例中,平坦化电介质层60是可以容易平坦化的电介质材料。例如平坦化电介质层60可以是掺杂的硅酸盐玻璃或者未掺杂的硅酸盐玻璃(氧化硅)。在一个实施例中,平坦化电介质层60可以包括与电介质间隔物52的电介质材料不同的电介质材料。例如,如果电介质间隔物52包括氮化硅、氧化硅或者氮氧化硅,则平坦化电介质层60可以包括OSG。如果电介质间隔物52包括氮化硅,则平坦化电介质层60可以包括氧化硅或者OSG。
在可抛弃栅极结构(27,29)的最顶表面上方、即在可抛弃栅极材料部分29的最顶表面上方平坦化平坦化电介质层60。在升高的有源区域56的选择性外延之前从半导体材料转换的任何栅极帽电介质部分或者任何电介质材料如果存在则可以在平坦化期间被去除。可以例如通过化学机械平坦化(CMP)执行平坦化。平坦化电介质层60的平面最顶表面这里称为平面电介质表面63。电介质间隔物52的顶表面和可抛弃栅极材料部分29的顶表面可以与平面电介质表面63共面。
参照图5,通过至少一种蚀刻去除可抛弃栅极结构(27,29)。至少一种蚀刻可以是凹陷蚀刻,该凹陷蚀刻可以是各向同性蚀刻或者各向异性蚀刻。用来去除可抛弃栅极材料部分29的蚀刻优选地对平坦化电介质层60和电介质间隔物52的电介质材料有选择性。随后相对于掺杂的阱12和掺杂的有源区域16的半导体材料有选择性去除可抛弃电介质部分27。栅极空腔39由每个从其去除可抛弃栅极结构(27,29)的体积形成。因此去除可抛弃栅极结构(27,29)以暴露在每个栅极空腔39下面的半导体衬底8的半导体表面。
参照图6,依次形成栅极电介质层32、功函数材料层34和含铝材料层40L。栅极电介质层32、功函数材料层34和含铝材料层40L填充栅极空腔39。具体而言,在所有栅极空腔39的底表面和内侧壁以及平坦化电介质层60和电介质间隔物52的所有顶表面上沉积栅极电介质层32为单个连续层。栅极电介质层32可以是具有大于3.9的介电常数的高介电常数(高-k)材料层。栅极电介质层32可以包括电介质金属氧化物,该电介质金属氧化物是包含金属和氧的高k材料并且在本领域中称为高k栅极电介质材料。可以通过例如包括化学气相沉积(CVD)、物理气相沉积(PVD)、分子束沉积(MBD)、脉冲式激光沉积(PLD)、液体源雾化化学气相沉积(LSMC)、原子层沉积(ALD)等的本领域公知方法沉积电介质金属氧化物。示例高k电介质材料包括HfO2、ZrO2、La2O3、Al2O3、TiO2、SrTiO3、LaAlO3、Y2O3、HfOxNy、ZrOxNy、La2OxNy、Al2OxNy、TiOxNy、SrTiOxNy、LaAlOxNy、Y2OxNy、其硅酸盐及其合金。x的每个值独立地从0.5到3,并且y的每个值独立地从0到2。栅极电介质层32的如在水平部分处测量的厚度可以从0.9nm到6nm,并且优选地从1.0nm到3nm。栅极电介质层32可以具有近似1nm或者少于1nm的有效氧化物厚度。
随后,直接在栅极电介质层32上沉积功函数材料层34为连续层。在栅极电介质层32的水平部分的顶表面上和在栅极电介质层32的竖直部分的内侧壁上形成功函数材料层34。功函数材料层34可以是单个连续层或者是包括不同材料的多个层。在一个实施例中,功函数材料层34的组成可以局部地变化以对不同场效应晶体管类型的阈值电压提供不同的调整。
功函数材料层32包括可以影响随后待形成的场效应晶体管的功函数的导电材料。在一个实施例中,功函数材料层34可以包括硅价带边缘金属,诸如Pt、Rh、Ir、Ru、Cu、Os、Be、Co、Pd、Te、Cr、Ni、TiN及其合金。硅价带边缘金属是具有与对应于硅价带边缘的功函数(即5.10eV)比与对应于硅导带边缘的功函数(即4.00eV)更接近的功函数的金属。因此,硅价带边缘金属具有大于4.55eV的功函数。在另一实施例中,功函数材料层34L可以包括硅导带边缘金属,诸如Hf、Ti、Ta、Zr、Cd、La、Tl、Yb、Al、Ce、Eu、Li、Pb、Tb、Bi、In、Lu、Nb、Sm、V、Zr、Ga、Mg、Gd、Y和TiAl及其合金和氮化物/碳化物。硅导带边缘金属是具有与对应于硅导带边缘的功函数比与对应于硅价带边缘的功函数更接近的功函数的金属。因此,硅导带边缘金属具有少于4.55eV的功函数。
可以例如通过物理气相沉积、化学气相沉积或者原子层沉积(ALD)形成功函数材料层34。功函数材料层34的厚度通常设置在从1nm到30nm并且更通常从2nm到10nm的值,但是也可以运用更少和更大厚度。
在功函数材料层34的内侧壁上和在功函数材料层34的水平部分的顶表面上形成含铝材料层40L。含铝材料层40L主导地包括铝,即在含铝材料层40L内的多于50%的原子是铝原子。在一个实施例中,含铝材料层40L可以实质上由铝构成。在另一实施例中,含铝材料层40L可以包括铝合金,该铝合金包括铝和在钴、铜、银、锰、铬、锌、钒、钛、钽、铋、镓、铅、锆、硅、铁和镁中的至少一种。在又一实施例中,含铝材料层40L可以是具有比纯铝更低的熔点的铝共晶化合物。在每个栅极空腔的一部分内沉积含铝材料层40L。
可以例如通过物理气相沉积(PVD)、真空蒸发、化学气相沉积(CVD)或者通过用于沉积纯铝或者铝合金的任何其它沉积方法形成含铝材料层40L。可以选择含铝材料层40L的厚度以完全填充栅极空腔39。备选地,可以用这样的厚度沉积含铝材料层40L使得含铝材料层40L的材料在如下回流工艺之后完全填充栅极空腔39,在该回流工艺中,含铝材料层40L的材料回流以提供在整个第一示例结构之上延伸的水平顶表面。
参照图7,通过平坦化工艺从平坦化电介质层60的平面电介质表面63上方去除含铝材料层40L、功函数材料层34和栅极电介质层32。平坦化工艺可以运用平坦化电介质层60和/或电介质间隔物52作为至少一个蚀刻停止结构。可以在平坦化期间去除功函数材料层34和栅极电介质层32的在平面电介质表面63上方的部分。含铝材料层40L的在平坦化之后的剩余部分构成含铝材料部分40。
在图5的处理步骤存在的栅极空腔39的每个体积内形成取代栅极结构。每个取代栅极结构包括栅极电介质层32、功函数材料层34和含铝材料部分40。提供运用取代栅极结构(32,34,40)中的至少一个取代栅极结构作为电极的至少一个半导体器件。至少一个半导体器件可以包括如下场效应晶体管,该场效应晶体管运用掺杂的阱的一部分作为本体区域、掺杂的有源区域16中的两个掺杂的有源区域作为平面源极区域和平面漏极区域、升高的有源区域56中的两个升高的有源区域作为升高的源极区域和升高的漏极区域、金属半导体合金部分66中的两个金属半导体合金部分作为源极侧金属半导体合金部分和漏极侧金属半导体合金部分、栅极电介质层32中的一个栅极电介质层作为栅极电介质以及功函数材料层34和与之接触的含铝材料部分40的组合作为取代栅极电极,即通过替换可抛弃结构来形成的栅极电极。
每个栅极电介质层32可以是具有大于8.0的介电常数的U形栅极电介质。每个U形栅极电介质包括与半导体衬底8的顶表面接触的水平部分和延伸至平面电介质表面63的高度的竖直部分。每个功函数材料层34与含铝材料部分40的底表面和外侧壁接触。每个栅极电介质层32与功函数材料层34的底表面和外侧壁接触。在功函数材料层34的内侧壁上和在功函数材料层34的水平部分的顶表面上形成每个含铝材料部分40。电介质间隔物52横向地接触并且包围每个栅极电介质层32。
参照图8,在含铝材料部分40的最顶表面之上形成接触级电介质层80。具体而言,在平坦化电介质层60、电介质间隔物52和取代栅极结构(32,34,40)之上沉积接触级电介质层80。在一个实施例中,每个功函数材料层34的最顶表面、每个栅极电介质层32的最顶表面和每个电介质间隔物52的最顶表面可以与接触级电介质层的底表面共面。
接触级电介质层80包括与平坦化电介质层60的电介质材料不同的电介质材料。如果平坦化电介质层60包括未掺杂的硅酸盐玻璃(USG)、掺杂的硅酸盐玻璃、有机硅酸盐玻璃(OSG)、未掺杂的氮氧化硅和掺杂的氮氧化硅中的至少一种,则接触级电介质层80可以包括氮化硅。另外,如果平坦化电介质层60包括有机硅酸盐玻璃(OSG),则接触级电介质层80可以包括氮化硅、氧化硅和氮掺杂的OSG中的至少一种。另外,如果平坦化电介质层60包括有孔OSG,则接触级电介质层80可以包括氮化硅、氧化硅、氮掺杂的OSG和无孔OSG中的至少一种。在一个实施例中,平坦化电介质层60可以包括未掺杂的硅酸盐玻璃(USG)、掺杂的硅酸盐玻璃、有机硅酸盐玻璃(OSG)、未掺杂的氮氧化硅和掺杂的氮氧化硅中的至少一种,并且接触级电介质层80可以包括氮化硅。在一个实施例中,电介质间隔物60包括氮化硅,接触级电介质层80包括氧化硅或者OSG,并且接触级电介质层80包括氮化硅。
可以例如通过化学气相沉积(CVD)形成接触级电介质层80。接触级电介质层80的厚度可以从30nm到1000nm,但是也可以运用更少和更大厚度。
在接触级电介质层80的顶表面之上施加并且随后光刻地图案化第一光刻胶层87以形成其中包括至少第一开口的第一过孔图案。随后通过运用图案化的第一光刻胶层87作为蚀刻掩模的第一蚀刻经过接触级电介质层80传送第一光刻胶层87中的第一过孔图案。第一蚀刻可以是各向异性蚀刻,诸如反应离子蚀刻。在一个实施例中,可以选择第一蚀刻的化学性质,使得第一蚀刻在平坦电介质层60的顶表面上停止。彻底蚀刻接触级电介质层80的在第一光刻胶层87中的第一开口下面的部分以在接触级电介质层80内形成第一接触过孔空腔91。第一接触过孔空腔91从接触级电介质层80的顶表面延伸至接触级电介质层80的底表面。
第一光刻胶层87中的第一开口的理想位置可以是在金属半导体合金部分66的一部分、升高的有源区域56的一部分和/或掺杂的有源区域16的一部分上面并且未在任何取代栅极电极(34,40)上面的区域。在一个实施例中,可以选择第一开口的理想位置未在任何电介质间隔物52上面。在另一实施例中,可以选择第一开口的理想位置以在电介质间隔物52的未与取代栅极结构(32,34,40)接触的部分上面。在制造第一示例半导体器件期间,在任何光刻对准工艺中固有的覆盖变化可能使第一开口的实际位置在取代栅极结构(32,34,40)的一部分上面。在这一情况下,可以在经过接触级电介质层80形成第一接触过孔空腔91的第一蚀刻结束之后物理地暴露取代栅极结构(32,34,40)的表面。
参照图9,通过在接触级电介质层80的电介质材料的第一蚀刻之后的第二蚀刻竖直地凹陷取代栅极结构(32,34,40)的物理地暴露的表面。选择第二蚀刻的蚀刻化学性质以去除含铝材料部分40的含铝材料。在一个实施例中,第二蚀刻可以是运用BCl3与Cl2的组合的干蚀刻,该干蚀刻可以相对于氧化硅和氮化硅有选择性地蚀刻金属材料。在一个实施例中,可以将在第二蚀刻期间保持第一示例半导体结构的卡盘加热至例如从200摄氏度到400摄氏度的提升的温度以提供对氧化硅和氮化硅的增强的选择性。在一个实施例中,可以在第二蚀刻期间维持第一示例半导体结构的温度在含铝材料部分40的含铝材料的回流温度以下。
第二蚀刻竖直地凹陷取代栅极结构(32,34,40)的物理地暴露的表面,这包括在第一接触过孔空腔91下面的含铝材料部分40的物理地暴露的表面和功函数金属层34的物理地暴露的表面。在一个实施例中,第二蚀刻也可以竖直地凹陷在第一接触过孔空腔91下面的栅极电介质层32的物理地暴露的表面。因此,在第二蚀刻期间去除含铝材料部分40的在如图8中所示的第一接触过孔空腔91下面的子部分。换而言之,第二蚀刻通过凹陷含铝材料部分40的子部分、栅极电介质层32的一部分和功函数材料层34的一部分在取代栅极结构(32,34,40)的物理地暴露的表面的区域内竖直地延伸如图8中所示第一接触过孔空腔91的底部分。例如通过灰化去除第一光刻胶层87。
参照图10,可选地可以在接触级电介质层80的顶表面之上施加并且可以随后光刻地图案化第二光刻胶层88以形成其中包括至少第二开口的第二过孔图案。随后通过运用图案化的第二光刻胶层88作为蚀刻掩模的第三蚀刻经过接触级电介质层80传送第二光刻胶层88中的第二过孔图案。第三蚀刻可以是各向异性蚀刻,诸如反应离子蚀刻。在一个实施例中,选择第三蚀刻的化学性质,使得第三蚀刻在平坦电介质层60的顶表面上停止。在一个实施例中,第三蚀刻的化学性质可以与第一蚀刻的化学性质相同。彻底蚀刻接触级电介质层80的在第二光刻胶层88中的第二开口下面的部分以在接触级电介质层80内形成第二接触过孔空腔93。第二接触过孔空腔93从接触级电介质层80的顶表面延伸至接触级电介质层80的底表面。
第二光刻胶层88中的第二开口的理想位置可以是在金属半导体合金部分66的一部分、升高的有源区域56的一部分和/或掺杂的有源区域16的一部分上面并且未在任何取代栅极电极(34,40)上面的区域。在一个实施例中,可以选择第二开口的理想位置未在任何电介质间隔物52上面。在另一实施例中,可以选择第二开口的理想位置以在电介质间隔物52的未与取代栅极结构(32,34,40)接触的部分上面。在制造第一示例半导体器件期间,在任何光刻对准工艺中固有的覆盖变化可能使第二开口的实际位置在取代栅极结构(32,34,40)的一部分上面。在这一情况下,可以在经过接触级电介质层80形成第二接触过孔空腔93的第二蚀刻结束时物理地暴露取代栅极结构(32,34,40)的表面。
通过在接触级电介质层80的电介质材料的第三蚀刻之后的第四蚀刻竖直地凹陷取代栅极结构(32,34,40)的物理地暴露的表面。选择第四蚀刻的蚀刻化学性质以去除含铝材料部分40的含铝材料。在一个实施例中,第四蚀刻可以是运用BCl3与Cl2的组合的干蚀刻。在一个实施例中,可以将在第四蚀刻期间保持第一示例半导体结构的卡盘加热至例如从200摄氏度到400摄氏度的提升的温度以提供对氧化硅和氮化硅的增强的选择性。在一个实施例中,可以在第四蚀刻期间维持第一示例半导体结构的温度在含铝材料部分40的含铝材料的回流温度以下。在一个实施例中,第四蚀刻的蚀刻化学性质可以与第二蚀刻的蚀刻化学性质相同。
第四蚀刻竖直地凹陷取代栅极结构(32,34,40)的物理地暴露的表面,这包括在第二接触过孔空腔93下面的含铝材料部分40的物理地暴露的表面和功函数金属层34的物理地暴露的表面。在一个实施例中,第四蚀刻也可以竖直地凹陷在第二接触过孔空腔93下面的栅极电介质层32的物理地暴露的表面。因此,在第四蚀刻期间去除含铝材料部分40的在第二接触过孔空腔93下面的子部分。换而言之,第四蚀刻通过凹陷含铝材料部分40的子部分、栅极电介质层32的一部分和功函数材料层34的一部分在取代栅极结构(32,34,40)的物理地暴露的表面的区域内竖直地延伸第二接触过孔空腔93的底部分。
参照图11,例如通过灰化去除第二光刻胶层88。
参照图12,将含铝材料部分40的位于接近含铝材料部分40的物理地暴露的表面的表面部分转换成电介质铝化合物部分44。电介质铝化合物部分44包括电介质铝化合物,该电介质铝化合物可以是氧化铝、氮化铝、其中氧化铝是主导组成(即氧化铝占电介质金属氧化物的材料的多于50%)的电介质金属氧化物或者其中氮化铝是主导组成(即氮化铝占电介质金属氮化物的材料的多于50%)的电介质金属氮化物。
可以通过氧化、氮化和/或阳极化实现将含铝材料部分40的表面部分转换成电介质铝化合物部分44。氧化工艺可以是热氧化工艺,诸如运用H2O的湿氧化或者运用O2的干氧化,或者可以是运用氧和/或臭氧等离子体的等离子体氧化工艺。氮化工艺可以是运用NH3的热氮化工艺,或者可以是运用NH3和/或N2等离子体的等离子体氮化工艺。阳极化工艺可以是如本领域已知的如下电解钝化工艺,该电解钝化工艺增加含铝材料部分40的表面上的自然氧化物层的厚度。体积膨胀由于并入氧原子或者氮原子而伴随将含铝材料部分40的表面部分转换成电介质铝化合物部分44。例如,在铝氧化的情况下,通过氧化从铝部分转换的氧化铝部分具有比铝部分的原体积大28%的体积。
每个电介质铝化合物部分44与功函数材料层34的内侧壁的上部分接触并且可以与功函数材料层34的顶表面和栅极电介质层32的顶表面接触。另外,电介质铝化合物部分44可以与电介质间隔物52的内侧壁的一部分接触。平坦化电介质层60可以嵌入电介质铝化合物部分44的下部分。电介质铝化合物部分44的最上部分可以在电介质化合物部分44在氧化或者氮化工艺期间经历体积膨胀时在接触级电介质层80的底表面上方突出。根据每个含铝材料部分40的已转换部分和从包括该含铝材料部分的取代栅极结构(32,34,40)的去除的材料的相对体积,第一和/或第二接触过孔空腔(91,93)可以或者可以未在接触级电介质层80的底表面以下突出。
参照图13,通过相对于电介质铝化合物部分44的材料有选择性去除平坦化电介质层60的电介质材料的蚀刻竖直地延伸第一和第二接触过孔空腔(91,93)。蚀刻可以是各向异性蚀刻,诸如反应离子蚀刻。
在一个实施例中,经过平坦化电介质层60的部分竖直延伸第一和第二接触过孔空腔(91,92)的蚀刻的蚀刻化学性质对电介质间隔物52的电介质材料有选择性。例如,如果平坦化电介质层60包括氧化硅或者OSG并且电介质层间隔物52包括氮化硅,则可以运用基于碳氟化合物的反应离子蚀刻以竖直地凹陷平坦化电介质层60的物理地暴露的水平表面而未蚀刻或者最少蚀刻电介质铝化合物部分44和电介质间隔物52。如果接触级电介质层80包括延伸第一和第二接触过孔空腔(91,92)的蚀刻的化学性质不蚀刻的电介质材料,则蚀刻可以对接触级电介质层80有选择性。例如,如果平坦化电介质层60包括氧化硅或者OSG并且电介质间隔物52和接触级电介质层80包括氮化硅,则可以运用基于氟碳化合物的反应离子蚀刻相对于电介质铝化合物部分44、电介质间隔物52和接触级电介质层80有选择性竖直地凹陷平坦化电介质层60的物理地暴露的水平表面。
可以在第一和第二接触过孔空腔(91,93)中的每个接触过孔空腔下面物理地暴露半导体器件的导电材料部分的表面为经过平坦化电介质层60被竖直地延伸。在一个实施例中,半导体器件之一可以是场效应晶体管,并且含铝材料部分40之一可以是场效应晶体管的栅极导体的一部分。导电材料部分可以是场效应晶体管的源极区域、场效应晶体管的漏极区域、场效应晶体管的源极侧金属半导体合金部分或者场效应晶体管的漏极侧金属半导体合金部分之一。尽管运用其中形成升高的有源区域56和金属半导体合金部分66的实施例来描述本公开内容,但是这里明确地设想其中省略形成升高的有源区域56和/或金属半导体合金部分66的实施例。
参照图14,在接触级电介质层80之上施加并且光刻地图案化第三光刻胶层89以在其中形成至少一个开口。至少一个开口可以位于取代栅极结构(32,34,40)之上。经过接触级电介质层80传送第三光刻胶层89中的至少一个开口的图案以在其中形成至少一个栅极过孔空腔95。至少一个栅极空腔95中的每个栅极空腔从接触级电介质层80的顶表面延伸至接触级电介质层80的底表面。
在至少一个栅极过孔空腔95中的每个栅极过孔空腔的底部物理地暴露取代栅极结构(32,34,40)的顶表面。具体而言,在至少一个栅极过孔空腔95中的每个栅极过孔空腔的底部物理地暴露含铝材料部分40的顶表面。可选地,只要至少一个栅极过孔空腔95未与用第三光刻胶层89填充的第一和第二接触过孔空腔(91,93,见图12)中的任何接触过孔空腔重叠,可以在至少一个栅极过孔空腔95中的一个或者多个栅极过孔空腔的底部物理地暴露栅极电介质层32、功函数材料层34、电介质间隔物52和平坦化电介质层60的一部分的表面。随后例如通过灰化去除第三光刻胶层89。
参照图15和16,例如通过物理气相沉积(PVD)、化学气相沉积(CVD)、电镀、无电镀或者其组合向第一和第二接触过孔空腔(91,93)和至少一个栅极过孔空腔95中沉积至少一种导电材料。至少一种导电材料可以例如包括Al、W、Ta、Ti、Cu、WN、TaN、TiN、WC、TiC、TaC或者其组合。随后例如通过化学机械平坦化和/或凹陷蚀刻从接触级电介质层80的顶表面去除至少一种导电材料。
在第一接触过孔空腔91内的至少一种导电材料的剩余部分构成这里称为第一有源区域接触过孔结构92的接触过孔结构。第一有源区域接触过孔结构92接触作为第一有源区域(诸如源极区域或者漏极区域)的导电材料部分或者导电地连接到有源区域(如在导电地连接到升高的有源区域66或者掺杂的有源区域16的金属半导体合金部分66的情况下那样)。第一有源区域接触过孔结构92与最邻近的含氧化铝部分40通过电介质铝化合物部分44电隔离。第一有源区域接触过孔结构92包括位于接触级电介质层80的底表面以下的下接触过孔结构部分。电介质铝化合物部分44可以通过电介质间隔物52的一部分与下接触过孔结构部分横向地间隔。电介质铝化合物部分44与含铝材料部分40和第一有源区域接触过孔结构92接触。
在第二过孔接触空腔93内的至少一种导电材料的剩余部分构成这里称为第二有源区域接触过孔结构94的另一接触过孔结构。第二有源区域接触过孔结构94接触作为第二有源区域(诸如源极区域或者漏极区域)的另一导电材料部分或者导电地连接到另一有源区域(如在导电地连接到升高的有源区域66或者掺杂的有源区域16的金属半导体合金部分66的情况下那样)。第二有源区域接触过孔结构94与最邻近含氧化铝部分40通过电介质铝化合物部分44电隔离。第二有源区域接触过孔结构94包括位于接触级电介质层80的底表面以下的下接触过孔结构部分。电介质铝化合物部分44可以通过电介质间隔物52的一部分与下接触过孔结构部分横向地间隔。电介质铝化合物部分44与含铝材料部分40和第二有源区域接触过孔结构94接触。
在至少一个栅极过孔空腔95中的每个栅极过孔空腔内的至少一种导电材料的剩余部分构成这里称为栅极接触结构96的接触过孔结构。每个栅极接触结构96经过接触级电介质层80延伸并且接触含铝材料部分40之一的顶表面。每个栅极接触结构96可以提供与包括含铝材料部分40的取代栅极电极(34,40)的电接触。在一个实施例中,栅极接触结构95未接触任何电介质铝化合物部分44。
在任何如下区域内形成电介质铝化合物部分44,在该区域中,第一和第二有源区域接触过孔结构(92,94)的物理区域与导电结构(诸如取代栅极电极(34,40))的区域重叠。因此,第一和第二有源区域接触过孔结构(92,94)无需用于将第一和第二有源区域接触过孔结构(92,94)与导电结构(诸如取代栅极电极(34,40))横向地间隔的任何边界结构。这样,第一和第二有源区域接触过孔结构(92,94)是“无边界”接触结构。
参照图17,通过回流取代栅极结构(32,34,40)中的含铝材料部分40从图11的第一示例结构获得根据本公开内容的第二实施例的第二示例半导体结构。如上文讨论的那样,在图9-11的处理步骤中蚀刻含铝材料部分40的位于第一和第二接触过孔空腔(91,93)下面的子部分。随后通过在升高的温度处退火回流含铝材料部分40的剩余部分,该升高的温度可以是含铝材料部分40的材料的回流温度或者在其以上。如果含铝材料部分40由铝构成,则可以在大于400摄氏度的温度处执行含铝材料部分40的回流。在一个实施例中,回流的温度可以在引起栅极堆叠的材料改变的温度以下。每个含铝材料部分40在回流工艺之后具有位于栅极电介质层32的凹陷的顶表面和功函数材料层34的凹陷的顶表面上方的平面顶表面。另外,每个含铝材料部分40的平面顶表面从在一端的功函数材料层34的竖直部分的内侧壁延伸至在另一端的电介质间隔物52的内侧壁。
参照图18,执行图13的处理步骤。换而言之,对在取代栅极电极(32,34,40)内的含铝材料的物理地暴露的表面部分执行氧化或者氮化。在形成电介质铝化合物部分44时,每个含铝材料部分40的最顶表面通过电介质铝化合物部分44与接触级电介质层80的底表面竖直地间隔。电介质间隔物52横向地接触并且包围每个栅极电介质层32。电介质铝化合物部分44可以横向地接触在一侧上的功函数材料层34的内侧壁的一部分和在另一侧上的电介质间隔物52的内侧壁的一部分。
功函数材料层34包括与接触级电介质层80的底表面接触并且位于一侧上的第一竖直功函数材料部分34A和与接触级电介质层80的底表面竖直地间隔并且位于另一侧上的第二竖直功函数材料部分34B。在一个实施例中,电介质铝化合物部分44可以横向地接触第一竖直功函数材料部分34A并且未接触第二竖直功函数材料部分34B。
在一个实施例中,电介质铝化合物部分44可以与功函数材料层34的内侧壁的上部分(例如第一竖直功函数材料部分34A)接触。另外,电介质铝化合物部分44可以与电介质间隔物52的内侧壁的一部分接触。
参照图19,可以运用与图13的处理步骤相同的处理步骤来竖直地延伸第一和第二接触过孔空腔(91,93)。
参照图20,可以运用与图14的处理步骤相同的处理步骤在接触级电介质层80中形成至少一个栅极过孔空腔95。
参照图21,运用与图15和16的处理步骤相同的处理步骤来形成第一和第二有源区域接触过孔结构(92,94)以及至少一个栅极接触结构96。如在第一实施例中那样,电介质铝化合物部分44存在于任何如下区域内,在该区域中,第一和第二有源区域接触过孔结构(92,94)的物理区域与导电结构(诸如取代栅极电极(34,40))的区域重叠。因此,第二实施例的第一和第二有源区域接触过孔结构(92,94)如在第一实施例中那样是“无边界”接触结构。
尽管已经在具体实施例方面描述公开内容,但是鉴于前文描述不言而喻,许多备选、修改和变化将为本领域技术人员所清楚。除非另外明确公开或者另外如本领域普通技术人员将已知的那样不可能,则本公开内容的各种实施例中的每个实施例可以单独或者与本公开内容的任何其它实施例组合实施。因而公开内容旨在于涵盖落在公开内容和所附权利要求的范围和精神实质内的所有这样的备选、修改和变化。

Claims (17)

1.一种半导体结构,包括:
半导体器件,位于半导体衬底上,所述半导体器件包括含铝材料部分;
接触级电介质层,位于所述含铝材料部分的最顶表面之上;
接触过孔结构,经过所述接触级电介质层延伸并且与所述半导体器件的导电材料部分接触;
电介质铝化合物部分,与所述含铝材料部分和所述接触过孔结构接触;
功函数材料层,与所述含铝材料部分的底表面和外侧壁接触,其中所述电介质铝化合物部分与所述功函数材料层的内侧壁的上部分接触;以及
栅极电介质层,与所述功函数材料层的底表面和外侧壁接触。
2.根据权利要求1所述的半导体结构,还包括:平坦化电介质层,位于所述半导体衬底的顶表面之上和所述接触级电介质层之下。
3.根据权利要求1所述的半导体结构,还包括横向地接触并且包围所述栅极电介质层的电介质间隔物。
4.根据权利要求3所述的半导体结构,其中所述接触过孔结构包括位于所述接触级电介质层的底表面以下的下接触过孔结构部分,并且所述电介质铝化合物部分与所述下接触过孔结构部分通过所述电介质间隔物的一部分横向地间隔。
5.根据权利要求4所述的半导体结构,其中所述功函数材料层的最顶表面、所述栅极电介质层的最顶表面和所述电介质间隔物的最顶表面与所述接触级电介质层的底表面共面。
6.根据权利要求1所述的半导体结构,还包括横向地接触并且包围所述栅极电介质层的电介质间隔物,其中所述电介质铝化合物部分与所述电介质间隔物的内侧壁的一部分接触。
7.根据权利要求1所述的半导体结构,其中所述含铝材料部分的最顶表面与所述接触级电介质层的底表面通过所述电介质铝化合物部分间隔。
8.根据权利要求7所述的半导体结构,还包括横向地接触并且包围所述栅极电介质层的电介质间隔物,其中所述电介质铝化合物部分横向地接触所述功函数材料层的内侧壁的一部分和所述电介质间隔物的内侧壁的一部分。
9.根据权利要求7所述的半导体结构,其中所述功函数材料层包括位于一侧上的与所述接触级电介质层的底表面接触的第一竖直功函数材料部分和位于另一侧上的与所述接触级电介质层的所述底表面竖直地间隔的第二竖直功函数材料部分,其中所述电介质铝化合物部分横向地接触所述第一竖直功函数材料部分并且未接触所述第二竖直功函数材料部分。
10.根据权利要求1所述的半导体结构,其中所述半导体器件是场效应晶体管,并且所述含铝材料部分是栅极导体的一部分,并且所述导电材料部分是所述场效应晶体管的源极区域、所述场效应晶体管的漏极区域、所述场效应晶体管的源极侧金属半导体合金部分或者所述场效应晶体管的漏极侧金属半导体合金部分。
11.根据权利要求1所述的半导体结构,还包括与所述含铝材料部分的顶表面接触并且未与任何电介质铝化合物材料接触的另一接触过孔结构。
12.一种形成半导体结构的方法,包括:
在半导体衬底上形成包括含铝材料部分的半导体器件;
在所述含铝材料部分的最顶表面之上形成接触级电介质层;
通过经过所述接触级电介质层并且向所述含铝材料部分的子部分中蚀刻来形成接触过孔空腔;
将所述含铝材料部分的表面部分转换成电介质铝化合物部分;
在所述半导体衬底之上形成平坦化电介质层;
在所述平坦化电介质层内形成栅极空腔;
在所述栅极空腔的底表面和内侧壁上形成栅极电介质层;以及
在所述栅极电介质层的水平部分的顶表面上并且在所述栅极电介质层的竖直部分的内侧壁上形成功函数材料层,其中在所述功函数材料层的内侧壁上并且在所述功函数材料层的水平部分的顶表面上形成所述含铝材料部分。
13.根据权利要求12所述的方法,还包括:
在所述栅极空腔的一部分内沉积并且平坦化含铝材料,其中所述含铝材料的在所述平坦化之后的剩余部分构成所述含铝材料部分。
14.根据权利要求13所述的方法,还包括:
经过所述平坦化电介质层的一部分竖直地延伸所述接触过孔空腔;以及
物理地暴露所述半导体器件的在所述竖直地延伸的接触过孔空腔下面的导电材料部分的表面。
15.根据权利要求14所述的方法,还包括用导电材料填充所述竖直地延伸的接触过孔空腔,从而形成与所述导电材料部分接触并且与所述含铝材料部分通过所述电介质铝化合物部分电隔离的接触过孔结构。
16.根据权利要求12所述的方法,还包括在所述蚀刻所述含铝材料部分的所述子部分期间凹陷所述栅极电介质层的一部分和所述功函数材料层的一部分。
17.根据权利要求13所述的方法,还包括在所述蚀刻所述含铝材料部分的所述子部分之后并且在所述将所述含铝材料部分的所述表面部分转换成所述电介质铝化合物部分之前回流所述含铝材料部分的剩余部分。
CN201310187855.5A 2012-05-21 2013-05-20 用于含铝栅极的无边界接触及其形成方法 Active CN103426919B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/476,364 2012-05-21
US13/476,364 US8779515B2 (en) 2012-05-21 2012-05-21 Semiconductor structure containing an aluminum-containing replacement gate electrode

Publications (2)

Publication Number Publication Date
CN103426919A CN103426919A (zh) 2013-12-04
CN103426919B true CN103426919B (zh) 2016-05-25

Family

ID=49580614

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310187855.5A Active CN103426919B (zh) 2012-05-21 2013-05-20 用于含铝栅极的无边界接触及其形成方法

Country Status (2)

Country Link
US (2) US8779515B2 (zh)
CN (1) CN103426919B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034703B2 (en) * 2012-09-13 2015-05-19 International Business Machines Corporation Self aligned contact with improved robustness
US8889541B1 (en) * 2013-05-07 2014-11-18 International Business Machines Corporation Reduced short channel effect of III-V field effect transistor via oxidizing aluminum-rich underlayer
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US20150214331A1 (en) 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
US9390979B2 (en) * 2014-09-10 2016-07-12 Globalfoundries Inc. Opposite polarity borderless replacement metal contact scheme
US9455254B2 (en) * 2014-11-07 2016-09-27 Globalfoundries Inc. Methods of forming a combined gate and source/drain contact structure and the resulting device
KR102307207B1 (ko) 2015-03-25 2021-10-05 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9490317B1 (en) * 2015-05-14 2016-11-08 Globalfoundries Inc. Gate contact structure having gate contact layer
US9859422B2 (en) * 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9595478B2 (en) * 2015-06-12 2017-03-14 Globalfoundries Inc. Dummy gate used as interconnection and method of making the same
US9397049B1 (en) * 2015-08-10 2016-07-19 International Business Machines Corporation Gate tie-down enablement with inner spacer
CN107564953B (zh) * 2016-07-01 2021-07-30 中芯国际集成电路制造(上海)有限公司 变容晶体管及其制造方法
JP2019530242A (ja) * 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
KR102557123B1 (ko) * 2017-01-02 2023-07-19 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US10943822B2 (en) * 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
DE102019101304B4 (de) * 2019-01-18 2023-04-27 Infineon Technologies Dresden GmbH & Co. KG Leistungshalbleitervorrichtung und Verfahren zum Bilden einer Leistungshalbleitervorrichtung
US11393718B2 (en) * 2020-01-30 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101740394A (zh) * 2008-11-14 2010-06-16 半导体元件工业有限责任公司 半导体组件以及制造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0058548B1 (en) 1981-02-16 1986-08-06 Fujitsu Limited Method of producing mosfet type semiconductor device
US5814529A (en) 1995-01-17 1998-09-29 Semiconductor Energy Laboratory Co., Ltd. Method for producing a semiconductor integrated circuit including a thin film transistor and a capacitor
JP3833903B2 (ja) * 2000-07-11 2006-10-18 株式会社東芝 半導体装置の製造方法
KR20020029531A (ko) * 2000-10-13 2002-04-19 박종섭 다마신 금속게이트를 이용한 반도체소자의 제조방법
JP2004071959A (ja) * 2002-08-08 2004-03-04 Renesas Technology Corp 半導体装置
TWI242289B (en) 2004-11-22 2005-10-21 Au Optronics Corp Fabrication method of thin film transistor
DE102008006960B4 (de) * 2008-01-31 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit selbstjustierter Kontaktstruktur und Verfahren zur Herstellung
US7875519B2 (en) * 2008-05-21 2011-01-25 Intel Corporation Metal gate structure and method of manufacturing same
DE102009046248A1 (de) * 2009-10-30 2011-05-12 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleitersicherungen in einem Halbleiterbauelement mit Metallgates
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8232148B2 (en) * 2010-03-04 2012-07-31 International Business Machines Corporation Structure and method to make replacement metal gate and contact metal
KR101615654B1 (ko) * 2010-05-14 2016-05-12 삼성전자주식회사 반도체 소자의 형성방법
US8637941B2 (en) 2010-11-11 2014-01-28 International Business Machines Corporation Self-aligned contact employing a dielectric metal oxide spacer
US8546211B2 (en) 2010-11-17 2013-10-01 International Business Machines Corporation Replacement gate having work function at valence band edge
JP2012156229A (ja) 2011-01-25 2012-08-16 Renesas Electronics Corp 半導体装置およびその製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101740394A (zh) * 2008-11-14 2010-06-16 半导体元件工业有限责任公司 半导体组件以及制造方法

Also Published As

Publication number Publication date
US8906793B2 (en) 2014-12-09
CN103426919A (zh) 2013-12-04
US20130309852A1 (en) 2013-11-21
US20130307033A1 (en) 2013-11-21
US8779515B2 (en) 2014-07-15

Similar Documents

Publication Publication Date Title
CN103426919B (zh) 用于含铝栅极的无边界接触及其形成方法
US11871686B2 (en) Resistive random-access memory (RRAM) cell with recessed bottom electrode sidewalls
CN104795437B (zh) 金属栅极结构及其制造方法
CN104867967B (zh) 半导体器件及其制造方法
CN107546203A (zh) 半导体器件及其制造方法
CN108735656A (zh) 具有多个接触插塞的装置及其制造方法
CN110391227A (zh) 半导体装置
US9450072B2 (en) Replacement gate structure for enhancing conductivity
TWI695498B (zh) 積體晶片及其形成方法
CN109585378A (zh) 切割金属栅极的方法、半导体器件及其形成方法
CN109801971A (zh) 半导体器件
CN109841506A (zh) 半导体装置
TWI714273B (zh) 比例化閘極接觸與源極/汲極蓋
CN106206434B (zh) 半导体结构及其制造工艺
CN108122763A (zh) 半导体元件的制造方法
US11949020B2 (en) Transistor, integrated circuit, and manufacturing method
US20230187562A1 (en) Transistor, integrated circuit, and manufacturing method of transistor
CN103972149B (zh) 金属填充沟槽的方法
US20170084684A1 (en) Metal-insulator-metal capacitor structure
CN103855026B (zh) FinFET及其制造方法
KR102611247B1 (ko) 패턴 게이트를 갖는 반도체 금속 산화물 트랜지스터 및 이를 형성하는 방법
US20230058626A1 (en) Transistor, semiconductor structure, and manufacturing method thereof
KR102401313B1 (ko) 2d 반데르발스 재료를 사용하여 3d 트랜지스터를 형성하는 방법
US20230395658A1 (en) Transistor and manufacturing method of the same
US20220344357A1 (en) Memory device, integrated circuit, and manufacturing method of memory device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant