CN103077741A - 一种低电压工作的sram的存储单元电路 - Google Patents

一种低电压工作的sram的存储单元电路 Download PDF

Info

Publication number
CN103077741A
CN103077741A CN2012105903369A CN201210590336A CN103077741A CN 103077741 A CN103077741 A CN 103077741A CN 2012105903369 A CN2012105903369 A CN 2012105903369A CN 201210590336 A CN201210590336 A CN 201210590336A CN 103077741 A CN103077741 A CN 103077741A
Authority
CN
China
Prior art keywords
pipe
storage unit
source
drain terminal
unit circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012105903369A
Other languages
English (en)
Other versions
CN103077741B (zh
Inventor
刘波
柏娜
常红
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Southeast University
Original Assignee
Southeast University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Southeast University filed Critical Southeast University
Priority to CN201210590336.9A priority Critical patent/CN103077741B/zh
Publication of CN103077741A publication Critical patent/CN103077741A/zh
Application granted granted Critical
Publication of CN103077741B publication Critical patent/CN103077741B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Static Random-Access Memory (AREA)

Abstract

一种低电压工作的SRAM的存储单元电路,采用单端读写的双端口结构,由2个PMOS管MP1、MP2和6个NMOS管MN1~MN6组成,设有两个控端口cont和Colum。本发明的存储单元电路在保证读写正确的情况下,能够在近亚阈值的低电压下工作,从而降低了功耗;与传统的单端读写的存储单元电路相比,本发明的8T的存储单元电路能够采用CMUX结构,从而降低了整个SRAM的功耗。

Description

一种低电压工作的SRAM的存储单元电路
技术领域
本发明属于集成电路技术领域,涉及降低单元功耗的技术,为一种低电压工作的SRAM的存储单元电路。
背景技术
当下从电子产品到因特网的共享服务器,以及网络设备,控制功耗成为增加功能的主要限制,而供电电压又是决定功耗的重要的因素,而电源电压的降低的最大的障碍是嵌入的SRAM模块的最低的工作电压。
存储单元阵列电路是SRAM的重要的组成部分,也往往是系统设计的功耗的瓶颈,市场对各种便携式设备使用需求的不断提高对存储单元整列的降低功耗技术也提出了更高的要求。在新一代的集成电路设计中,为了达到降低功耗的设计目标,设计者常常使用多路电压方法允许使用不同电压的设计分实体或块,而随之引入的低电压逻辑,带来低电压的引入,就会导致失效率的增加。由于存储器是数字电路设计的重要的组成部分,它的失效率将会直接影响系统的良率。虽然通过器件尺寸的调节可以满足一定的设计需要,但是单纯的靠调节器件尺寸来实现设计目标已变得不是那么的现实,存储单元结构的设计也成为关键。
发明内容
本发明要解决的问题是:在保证良率的情况下,通过降低存储单元的工作电压来降低功耗。
本发明的技术方案为:一种低电压工作的SRAM存储单元电路,包括2个PMOS管MP1、MP2,6个NMOS管MN1~MN6,以及2个控制端口cont和Colum;电路结构采用单端读写的双端口结构:
MP1管的源端连接电源电压VDD,其漏端连接至MN5管的源端、MN3管的漏端、MP2管的栅端和MN2的栅端,其栅端连接至MN1管的栅端、MN2管的漏端、MP2的漏端以及MN6管的源端;
MP2管的源端连接电源电压VDD;
MN1管的源端连接接地电压VSS,其漏端接MN3管的源端;MN2管的源端接VSS;MN3管的栅端接控制端口cont;MN4管的源端接MN5管的漏端,其漏端接位线BL,栅端接控制端口Colum;MN5管的栅端接字线WL;MN6管的漏端接读位线RBL,其栅端接读字线RWL;
此外,所有的PMOS管的体端均与电源电压VDD相连,所有的NMOS管的体端均与接地电压VSS相连。
本发明具有以下的优点:
1在保证读写正确的情况下,本发明存储单元电路能够在近亚阈值的低电压下工作,从而降低了功耗;
2与传统的单端读写的存储单元电路相比,本发明的8T的存储单元电路能够采用列选择器CMUX结构,从而降低了整个SRAM的功耗。
附图说明
图1是本发明的一种低电压工作的SRAM存储单元电路结构图。
图2是本发明电路的工作原理波形图。
图3是一个传统的8T结构的存储单元电路结构图。
具体实施方式
参看图1,本发明的结构简单的低电压工作的SRAM存储单元电路包括2个PMOS管MP1、MP2和6个NMOS管MN1~MN6,该电路还包含有2个控制端口cont和Colum。
MP1管的源端连接电源电压VDD,其漏端连接至MN5管的源端、MN3管的漏端、MP2管的栅端和MN2的栅端,其栅端连接至MN1管的栅端、MN2管的漏端、MP2的漏端以及MN6管的源端;
MP2管的源端连接电源电压VDD;
MN1管的源端连接接地电压VSS,其漏端接MN3管的源端;MN2管的源端接VSS;MN3管的栅端接控制端口cont;MN4管的源端接MN5管的漏端,其漏端接位线BL,栅端接控制端口Colum;MN5管的栅端接字线WL;MN6管的漏端接读位线RBL,其栅端接读字线RWL;
此外,所有的PMOS管的体端均与电源电压VDD相连,所有的NMOS管的体端均与接地电压VSS相连。
参看图2,是本发明电路的工作原理波形图,本发明的低电压工作的存储单元电路的工作原理如下:
1、保持操作:
在存储单元电路保持数据期间,控制端口Colum、字线WL和读字线RWL都被设置为低电平“0”,这样这三者全部处于无效的状态,从而晶体管MN4、MN5和MN6都是处于关断的状态,位线BL上的信息与存储单元存储的信息就会被隔离开来,使得存储单元中的信息不会受到位线上的信息的干扰;控制端口cont被设置为高电平“1”,使得NMOS管MN3处于导通的状态,这样晶体管MN1、MP1和MN3就构成了一个反相器结构,从而与另外一个由晶体管MN2、MP2构成反相器形成互锁的结构,这样就提高了整个存储单元电路的保持数据的能力。
2、写操作:
在存储单元电路写数据期间,读字线RWL和控制端口cont被设置为低电平“0”,读字线RWL为低电平会使得NMOS管MN6处于关断的状态,从而避免了读位线RBL上的信息对存储单元内部的信息的干扰,cont被设置为“0”主要是为了方便对存储单元写“1”,这是因为如果没有晶体管MN3且存储单元存储的信息为“0”,这样图1中节点D就为低电平,节点DN为高电平,从而使得晶体管MN1和MP2都是处于导通的状态,当需要对存储单元写“1”时,由于晶体管MN1是处于导通的状态,这样就会使得对存储单元写“1”有点困难,但是当加上MN3管后,在写“1”的时候,晶体管MN3处于关断的状态,这样就使得节点D到电源地这条通路处于不导通的状态,从而方便对节点D写“1”;字线WL和控制端口Colum被设置为高电平“1”,NMOS管MN4、MN5导通,使得位线BL上的信息写到存储单元中。
3、读操作:
在存储单元电路读数据的期间,字线WL和控制端口Colum被设置为低电平“0”,使得MN4管和MN5管截止,这样位线BL上的信息就不会对存储单元中的信息产生干扰;控制端口cont同样也被设置为低电平“0”,使得MN3管处于截止的状态,这样有利于对存储单元中的数据进行保护;读字线RWL被设置为高电平“1”,使得晶体管MN6导通,这样就能读取存储单元中的数据。至于将控制端口cont设置为低电平“0”,是因为本发明采用的是单端口读取数据,在晶体管MN3导通的情况下,假设存储单元存储的信息是“1”,这样晶体管MP1和MN2是处于导通的状态,晶体管MN1和MP2处于截止的状态,在读取存储单元中的数据之前,会首先对读位线RBL充电,充到高电平“1”,当读字线RWL有效时,晶体管MN6导通,这样会使得节点DN的电平上升,如果VDN的值大于晶体管MN1的阈值电压Vth,就会使得晶体管MN1导通,从而节点D的电平下降,若这样的情况发生,那么读取的信息就会出错,但是加上晶体管MN3且让它在读取数据的时是处于截止的状态,就能避免的上述情况的发生。
参看图3,图3显示了一个现有技术中的8T结构的存储单元电路,这种结构是不能使用CMUX结构的,这是由于它们没有列选信号,在图1中可以看出本发明是在原有7T的基础上增加了一个NMOS管,这样就构成了8T的电路结构,晶体管MN4的控制信号是列选择信号,这样就能够采用CMUX,从而使得整体的功耗降低。
下表是本发明的存储单元电路的保持静态噪声容限的仿真,并与传统的六管,即6T结构的存储单元电路做了比较,从数据上可以看出,本发明的静态噪声容限和传统6管的存储单元是差不多的。本发明在读操作时,由于存在晶体管MN3,它在读操作时处于截止状态,故整个存储单元电路不存在闭环电路,这样本发明的存储单元电路在读操作的时候,可能受到的噪声影响主要是来自外界而非自身,从而提高了自身的读操作能力。
表1
Figure BDA00002689952500041

Claims (1)

1.一种低电压工作的SRAM的存储单元电路,其特征是包括2个PMOS管MP1、MP2,6个NMOS管MN1~MN6,以及2个控制端口cont和Colum;电路结构采用单端读写的双端口结构:
MP1管的源端连接电源电压VDD,其漏端连接至MN5管的源端、MN3管的漏端、MP2管的栅端和MN2的栅端,其栅端连接至MN1管的栅端、MN2管的漏端、MP2的漏端以及MN6管的源端;
MP2管的源端连接电源电压VDD;
MN1管的源端连接接地电压VSS,其漏端接MN3管的源端;MN2管的源端接VSS;MN3管的栅端接控制端口cont;MN4管的源端接MN5管的漏端,其漏端接位线BL,栅端接控制端口Colum;MN5管的栅端接字线WL;MN6管的漏端接读位线RBL,其栅端接读字线RWL;
此外,所有的PMOS管的体端均与电源电压VDD相连,所有的NMOS管的体端均与接地电压VSS相连。
CN201210590336.9A 2012-12-31 2012-12-31 一种低电压工作的sram的存储单元电路 Expired - Fee Related CN103077741B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210590336.9A CN103077741B (zh) 2012-12-31 2012-12-31 一种低电压工作的sram的存储单元电路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210590336.9A CN103077741B (zh) 2012-12-31 2012-12-31 一种低电压工作的sram的存储单元电路

Publications (2)

Publication Number Publication Date
CN103077741A true CN103077741A (zh) 2013-05-01
CN103077741B CN103077741B (zh) 2015-12-09

Family

ID=48154244

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210590336.9A Expired - Fee Related CN103077741B (zh) 2012-12-31 2012-12-31 一种低电压工作的sram的存储单元电路

Country Status (1)

Country Link
CN (1) CN103077741B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103500583A (zh) * 2013-09-11 2014-01-08 复旦大学 适用于低电压寄存器堆的写加强的抗读位线漏电存储单元
CN103578530A (zh) * 2013-10-21 2014-02-12 复旦大学 一种支持列选功能的亚阈值存储单元
CN104183269A (zh) * 2014-08-29 2014-12-03 东南大学 一种低电压单端读写sram存储单元及控制方法
CN104409095A (zh) * 2014-12-09 2015-03-11 复旦大学 具有位交叉功能的8管存储子阵列结构
CN104821180A (zh) * 2015-05-25 2015-08-05 苏州无离信息技术有限公司 一种适合低电压工作的8管sram位单元电路及其阵列
US9177636B1 (en) 2014-05-09 2015-11-03 International Business Machines Corporation 8T based SRAM cell and related method
CN107437430A (zh) * 2017-08-03 2017-12-05 电子科技大学 一种提高读噪声容限和写裕度的亚阈值sram存储单元电路
CN109920459A (zh) * 2019-01-10 2019-06-21 中国人民武装警察部队海警学院 一种完全非对称的亚阈值单端9管存储单元
CN110277120A (zh) * 2019-06-27 2019-09-24 电子科技大学 一种在低压下提升读写稳定性的单端8管sram存储单元电路
CN110767251A (zh) * 2019-10-16 2020-02-07 安徽大学 一种低功耗和高写裕度的11t tfet sram单元电路结构
CN111951849A (zh) * 2020-08-20 2020-11-17 海光信息技术有限公司 存储单元、随机静态存储器及寄存器堆
CN112309459A (zh) * 2020-11-20 2021-02-02 安徽大学 一种mosfet-tfet混合型的8t sram单元电路

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1198572A (zh) * 1997-03-14 1998-11-11 冲电气工业株式会社 读出放大器
US7164596B1 (en) * 2005-07-28 2007-01-16 Texas Instruments Incorporated SRAM cell with column select line
CN101203919A (zh) * 2005-03-04 2008-06-18 爱特梅尔公司 用于寄存器文件的快速读取端口

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2763841B1 (fr) * 1997-06-03 2000-02-11 Oreal Composition de teinture d'oxydation des fibres keratiniques et procede de teinture mettant en oeuvre cette composition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1198572A (zh) * 1997-03-14 1998-11-11 冲电气工业株式会社 读出放大器
CN101203919A (zh) * 2005-03-04 2008-06-18 爱特梅尔公司 用于寄存器文件的快速读取端口
US7164596B1 (en) * 2005-07-28 2007-01-16 Texas Instruments Incorporated SRAM cell with column select line

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103500583A (zh) * 2013-09-11 2014-01-08 复旦大学 适用于低电压寄存器堆的写加强的抗读位线漏电存储单元
CN103500583B (zh) * 2013-09-11 2016-05-25 复旦大学 用于低电压寄存器堆的写加强的抗读位线漏电存储单元
CN103578530A (zh) * 2013-10-21 2014-02-12 复旦大学 一种支持列选功能的亚阈值存储单元
US9177636B1 (en) 2014-05-09 2015-11-03 International Business Machines Corporation 8T based SRAM cell and related method
CN104183269A (zh) * 2014-08-29 2014-12-03 东南大学 一种低电压单端读写sram存储单元及控制方法
CN104409095B (zh) * 2014-12-09 2017-07-28 复旦大学 具有位交叉功能的8管存储子阵列结构
CN104409095A (zh) * 2014-12-09 2015-03-11 复旦大学 具有位交叉功能的8管存储子阵列结构
CN104821180B (zh) * 2015-05-25 2017-11-10 苏州无离信息技术有限公司 一种适合低电压工作的8管sram位单元电路阵列
CN104821180A (zh) * 2015-05-25 2015-08-05 苏州无离信息技术有限公司 一种适合低电压工作的8管sram位单元电路及其阵列
CN107437430A (zh) * 2017-08-03 2017-12-05 电子科技大学 一种提高读噪声容限和写裕度的亚阈值sram存储单元电路
CN107437430B (zh) * 2017-08-03 2019-07-19 电子科技大学 一种提高读噪声容限和写裕度的亚阈值sram存储单元电路
CN109920459A (zh) * 2019-01-10 2019-06-21 中国人民武装警察部队海警学院 一种完全非对称的亚阈值单端9管存储单元
CN109920459B (zh) * 2019-01-10 2021-01-15 中国人民武装警察部队海警学院 一种完全非对称的亚阈值单端9管存储单元
CN110277120A (zh) * 2019-06-27 2019-09-24 电子科技大学 一种在低压下提升读写稳定性的单端8管sram存储单元电路
CN110767251A (zh) * 2019-10-16 2020-02-07 安徽大学 一种低功耗和高写裕度的11t tfet sram单元电路结构
CN110767251B (zh) * 2019-10-16 2021-09-14 安徽大学 一种低功耗和高写裕度的11t tfet sram单元电路结构
CN111951849A (zh) * 2020-08-20 2020-11-17 海光信息技术有限公司 存储单元、随机静态存储器及寄存器堆
CN112309459A (zh) * 2020-11-20 2021-02-02 安徽大学 一种mosfet-tfet混合型的8t sram单元电路
CN112309459B (zh) * 2020-11-20 2022-09-16 安徽大学 一种mosfet-tfet混合型的8t sram单元电路

Also Published As

Publication number Publication date
CN103077741B (zh) 2015-12-09

Similar Documents

Publication Publication Date Title
CN103077741B (zh) 一种低电压工作的sram的存储单元电路
Dong et al. A 4+ 2T SRAM for Searching and In-Memory Computing With 0.3-V $ V_ {\mathrm {DDmin}} $
Kushwah et al. A single-ended with dynamic feedback control 8T subthreshold SRAM cell
JP5237504B2 (ja) 高密度で高いロバスト性を有するサブスレッショルドメモリセル回路
US20150170734A1 (en) Multi-port sram with shared write bit-line architecture and selective read path for low power operation
US8743592B2 (en) Memory circuit properly workable under low working voltage
US20230054139A1 (en) Storage array at low leakage current
Kushwah et al. A sub-threshold eight transistor (8T) SRAM cell design for stability improvement
CN102157195B (zh) 低电压静态随机存储器单元、存储器和写操作方法
CN102290097B (zh) 一种sram存储器
Akashe et al. Analysis of power in 3T DRAM and 4T DRAM cell design for different technology
US10062419B2 (en) Digtial circuit structures
Sharma et al. Design and analysis of 10T SRAM cell with stability characterizations
CN101840728B (zh) 一种双端sram单元
Oh et al. Pre-charged local bit-line sharing SRAM architecture for near-threshold operation
Chang et al. A 0.45-V 300-MHz 10T flowthrough SRAM with expanded write/read stability and speed-area-wise array for sub-0.5-V chips
CN108766494B (zh) 一种具有高读噪声容限的sram存储单元电路
Duari et al. A 4× 4 8T-SRAM array with single-ended read and differential write scheme for low voltage applications
Akashe et al. Specific power illustration of proposed 7T SRAM with 6T SRAM using 45 nm technology
JP2009026376A (ja) 記憶回路
CN104409092A (zh) 基于切断反馈技术的存储单元电路
Geethumol et al. Power and area efficient 10T SRAM with improved read stability
CN102148057A (zh) Sram单元、sram存储器
CN103745744A (zh) 一种提高sram良率的补偿电路
CN204242589U (zh) 基于切断反馈技术的存储单元电路

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20151209

Termination date: 20191231