CN102893705B - 使用磁场集中器的具有金属喷淋头的电感应式等离子体源 - Google Patents

使用磁场集中器的具有金属喷淋头的电感应式等离子体源 Download PDF

Info

Publication number
CN102893705B
CN102893705B CN201180024010.3A CN201180024010A CN102893705B CN 102893705 B CN102893705 B CN 102893705B CN 201180024010 A CN201180024010 A CN 201180024010A CN 102893705 B CN102893705 B CN 102893705B
Authority
CN
China
Prior art keywords
induction coil
conducting ring
annular
cap assemblies
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180024010.3A
Other languages
English (en)
Other versions
CN102893705A (zh
Inventor
坎芬·莱
杰弗里·托宾
彼得·I·波尔施内
乔斯·安东尼奥·马林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102893705A publication Critical patent/CN102893705A/zh
Application granted granted Critical
Publication of CN102893705B publication Critical patent/CN102893705B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing

Abstract

本发明提供用于基板的等离子体处理的方法与装置。处理腔室具有基板支撑件与面向该基板支撑件的盖组件。该盖组件具有包括电感应线圈的等离子体源,该电感应线圈被配置于导电平板内,该导电平板可包括被嵌套的导电环。该电感应线圈与导电平板实质上共平面,并由绝缘体与导电平板绝缘,该绝缘体适配于通道内,该通道形成于导电平板中,或嵌套于导电环内。于电感应线圈周围提供场集中器,并且该场集中器由隔离器与电感应线圈绝缘。该等离子体源由导电支撑板支撑。气体分配器将气体从穿过导电平板配置的导管,经由穿过支撑平板与等离子体源的中心开孔,而供应至该腔室。

Description

使用磁场集中器的具有金属喷淋头的电感应式等离子体源
技术领域
在此描述的实施例大体上涉及制造半导体器件。更具体地,在此描述的实施例涉及基板的等离子体处理的方法和装置。
背景技术
等离子体处理通常被用于许多半导体制造工艺上,该半导体制造工艺用于制造集成电路、平板显示器、磁性介质以及其它装置。通过对在腔室内的低压气体施加电磁场而在处理腔室内部产生等离子体或离子化气体,产生的等离子体或离子化气体继而被施加于工件,以完成诸如沈积、蚀刻或离子注入的处理。等离子体也可在腔室外部产生,然后在压力条件下被引导至腔室内,以增加等离子体内自由基与离子的比例,而用于需要这种处理的工艺。
等离子体可利用电场、磁场或电磁场而产生。利用电场产生的等离子体通常使用间隔(spaced-apart)的电极以在充满气体的空间中产生电场。电场使气体离子化,所产生的离子与电子在电场的影响下移向一个电极或另一个电极。电场可给予离子非常高的能量,这些离子冲向工件,这会从工件上溅射出材料、破坏工件并且在腔室内产生潜在的污染粒子。此外,伴随这种等离子体的高电势会产生不希望的放电以及寄生电流。
电感耦合等离子体可被用在许多情况中以避免电容耦合等离子体的一些影响。电感应线圈被配置于处理腔室内的等离子体产生区域附近。电感应线圈投射磁场至腔室内,以使腔室内的气体离子化。电感应线圈经常位于腔室外,穿过电介质窗口投射磁场至腔室内。电感应线圈经常由高频电磁能量驱动,这会遭受功率损失,该功率损失的提高速度超过施加给电感应线圈的电压。因此,等离子体源与腔室内部的等离子体的强烈耦合减少了功率损失。等离子体均匀性的控制同样通过等离子体源与等离子体之间的强烈耦合而得到改善。
随着各式各样的半导体工业器件的几何结构的持续下降,一般而言,处理均匀性尤其是等离子体均匀性,越发有助于提高器件制造的可靠性。因此,对于感应式等离子体处理装置以及方法有持续性的需求。
发明内容
在此描述的实施例提供一种用于等离子体腔室的盖组件,该盖组件具有与第一导电环嵌套在一起的第一环形电感应线圈。
其它实施例提供一种用于半导体基板的处理腔室,该处理腔室具有腔室主体、基板支撑件以及盖组件,该腔室主体界定内部区域,该基板支撑件被配置于内部区域内,该盖组件被配置于内部空间内且面向基板支撑件。该盖组件具有气体分配器以及等离子体源,该等离子体源具有第一导电表面、第二导电表面以及多个导电线圈,该第一导电表面面向基板支撑件,该第二导电表面背对基板支撑件,该多个导电线圈被配置于介于该第一导电表面与该第二导电表面之间的导电等离子体源内。
其它实施例提供一种处理基板的方法,所述方法是通过以下几个步骤完成的:将基板配置于处理腔室内的基板支撑件上;提供面向基板支撑件的等离子体源以界定介于等离子体源与基板支撑件之间的处理区域,该等离子体源包括被配置于电极内的多个导电回路;提供混合气体至处理区域;将电极接地;以及通过将电功率施加给导电回路而从混合气体形成等离子体。
附图说明
为使以上列举的本发明的特征可以更加详细的方式理解,上文所综述的本发明的更加详细的描述可通过参考实施例获得,一些实施例在附图中示出。应注意,附图仅示出本发明的典型实施例,因此不被认为是限制本发明的范围,因为本发明可容纳其它等效的实施例。
图1是根据一个实施例的处理腔室的示意性截面图。
图2是根据另一个实施例的气体分配器的示意性截面图。
图3是根据另一个实施例的气体分配器的分解图。
具体实施方式
图1是根据一个实施例的处理腔室100的示意性截面图。处理腔室100包括腔室主体102、基板支撑件104以及面对基板支撑件104的气体分配器106,该腔室主体102、该基板支撑件104以及该气体分配器106共同界定处理区域118。气体分配器106包括喷淋头108以及包围喷淋头108的等离子体源110。等离子体源110包括导电分隔物114以及导电线圈112,该导电线圈112被配置于导电分隔物114内部。可以有一个或更多个导电线圈112被配置于导电分隔物114内。导电分隔物114可为盘状构件,该盘状构件具有容纳导电线圈112的通道或导管。或者,导电分隔物114可为多个圈环,这些圈环分隔导电线圈112并与导电线圈112嵌套在一起。每一个导电线圈112被容纳在通道或凹槽116内,该通道或凹槽116以绝缘材料作为衬里。通道或凹槽116的绝缘材料防止电流从导电线圈112传入导电分隔物114。导电线圈112在处理区域118产生磁场,该磁场将配置于处理区域118中的处理气体离子化以形成等离子体。在一些实施例中,导电线圈112可为线圈组件,该线圈组件包括可移除的绝缘构件,如在下文结合图2所进一步描述的。
导电分隔物114提供具有大的表面面积的接地电极,该接地电极面向基板支撑件104。大的接地电极容许利用较低的功率等级在基板支撑件产生较高的电压。将导电线圈112配置在导电分隔物114内还能使等离子体源接近处理区域118的等离子体产生区域,从而提高与等离子体的耦合效率。此外,导电分隔物114的大的接地表面积减低了腔室内的等离子体外壳电压(sheath voltage),这减轻了腔室壁与腔室盖组件的溅射,从而减轻了配置于基板支撑件上的工件的污染。使用多个导电线圈112还提供了这样一种可能性,即在线圈上使用不同的功率等级以调整在处理区域118内的等离子体分布。
图2为根据另一个实施例的盖组件200的示意性截面图。与图1中的气体分配器106相似,盖组件200包括喷淋头202与等离子体源204。气体导管206将气源(未标示)连接至喷淋头202,从而透过喷淋头202内的开孔208,使气源与处理腔室流体连通。
等离子体源204包括配置于通道212内的电感应线圈210,该通道212形成在导电气体分配构件214之间。在一些实施例中,气体分配构件214可为金属或金属合金,并且可被涂覆介电材料,若有需要,或可为抗化学性或抗等离子体性材料,例如氧化钇材料。电感应线圈210也可为金属、金属合金或导电复合物,例如涂覆有金属的电介质或具有不同金属导电特性的金属复合物,该电感应线圈210的数量可为大于一个。用于电感应线圈210的材料的选择通常根据所期望的导热与导电性所决定。导电性较低的材料通常成本较低,但是由低导电性材料所制的导电线圈会产生多余的热,并需要过量的功率来运行。高导电性材料,例如铜和银,可被有效地用于导电线圈。导电性较低和成本较低的材料例如铝、锌或镍可被纳入为合金或层的组成成分。
可通过使电感应线圈210形成有用于热控制媒介的导管来散发热量,该热控制媒介可为冷却液体例如水或冷却气体例如氮气。在一些实施例中,电感应线圈210可为环形管或超环形管。可根据所需的导热性与导电性而明确规定管壁厚度。当例如大于约500瓦的高功率将被施加给电感应线圈210时,冷却会是有用的。在一个实施例中,导电线圈是包括铜层和银层的超环形管。
通道212通常以绝缘构件216作为衬里,该绝缘构件216可为例如陶瓷、塑料或铁氟隆。绝缘构件216将电流限制在电感应线圈210内。绝缘材料可为嵌入件,该嵌入件适配于通道212内,或在其它实施例中,该嵌入件可为粘附于通道212的内表面的衬里。图2所示的实施例包括两个绝缘构件216,这些绝缘构件216中的每一个都为适配于各自通道内部的环形构件,这些绝缘构件216中的一个适配于最里面的通道212内部,该最里面的通道212为图2所示实施例中的第一通道,而另一个绝缘构件216适配于最外面的通道212内部,该最外面的通道212为图2所示实施例中的第二通道。每个通道212内配置有电感应线圈210。在图2所示的实施例中,每个导电线圈包括两个导电回路218。一对导电回路218置于由各自的绝缘构件216所形成的凹槽内部。
两个导电回路218由各自的隔离器220互相电隔离,该隔离器220用来包围每个导电回路218。在图2所示的实施例中,每个隔离器220为环形电介质构件,该环形电介质构件具有凹槽224,而导电回路218适配于凹槽内。隔离器220的凹槽224以及通道212通常朝向相反的方向,隔离器220适配于该通道212内。因此,每一个导电回路被隔离器220包围三个侧边,而被绝缘构件216包围一个侧边。应该要注意的是,隔离器220的截面可为任何合适的形状。例如,在替代的实施例中,隔离器220可为圆形,以依循圆形的、管状的导电回路218的轮廓,从而凹槽224具有圆形的截面形状。在另一个实施例中,每一个隔离器220和/或每一个凹槽224的截面轮廓可为具有斜角角落(beveled corners)的矩形。在其它实施例中,导电回路218上可形成有将回路隔离的涂层。隔离器220可为任何绝缘材料,例如陶瓷、玻璃或者塑料。在图2的实施例中,每一个隔离器220被图示成单件,该单件覆盖单个导电回路218,但是在替代的实施例中,隔离器可形成为覆盖两个相邻的导电回路218,同时在两个导电回路之间设置壁。
在每一个电感应线圈210周围配置场集中器222,以放大由每一个电感应线圈210产生的磁场。在图2的实施例中,集中器222被配置于一对导电回路218以及该对导电回路218各自的隔离器220周围,但是在其它的实施例中,每一个回路218可搭配有场集中器222,或者多于两个回路可被耦接至场集中器222。场集中器222将由每一个电感应线圈210产生的磁场朝向处理腔室的等离子体产生区域聚集,将从等离子体产生区域投射出的磁场能量降至最低。每一个场集中器222通常包括亚铁盐(ferrite)或其它磁敏感材料或可磁化材料,例如低矫顽磁性(low coercivity)材料。电感应线圈210的热控制将场集中器222的温度变化降至最低,电感应线圈210的热控制保持了场集中器222的磁特性,用于控制由电感应线圈210所产生的磁场。
电感应线圈210被安插在气体分配构件214内,该气体分配构件214与绝缘构件216嵌套在一起,并且气体分配构件214与绝缘构件216共同界定通道212。导电构件226也可与电感应线圈210以及气体分配构件214同被安插在一起。在一个实施例中,导电构件226为环件,这些环件包括金属、金属合金或金属混和物,这些环件中的每一个可连接至支撑构件228。绝缘构件216适配于导电构件226与气体分配构件214之间,以使通道212具有与导电构件214以及导电构件226实质上共平面的配置,使得电感应线圈210与导电构件214以及导电构件226实质上共平面。
支撑构件228通常可导电。在一些实施例中,支撑构件228是金属块。支撑构件228具有凹槽230,凹槽230与导电构件226共同界定捕捉空间(capture space)232,捕捉空间232捕捉每一个绝缘构件216的各个肩部部分234,以将绝缘构件216固定在盖组件200内。导电构件214以及导电构件216实现使大的接地表面被置于靠近邻近等离子体之处,使得在较低的功率等级和较低的热输入的情况下能够使较高的偏压被使用在基板支撑件上(图1)。图2的盖组件配置同样使电感应线圈210的等离子体源能量与处理区域中的气体靠近,使得在较低功率等级的条件下实现较高的等离子体密度。使用如电感应线圈210的多个电感应线圈,也能够实现通过调节施加给每个线圈的功率等级来调整在腔室内产生的等离子体的分布。
支撑构件228包括一个或更多个导管236,这些导管236将处理气体引导至导电气体分配构件214。此外,在一些实施例中,为了使气体分布均匀,导电气体分配构件214可包括导管(未图示),该导管将来自导管236的气体分散在气体分配构件214的边界周围。通过将导电气体分配构件214与电感应线圈210安插在一起,装置200可同时被当作等离子体源与喷淋头来使用。气流遍及该装置的表面被均匀地分布,而射频功率紧密耦合至离开不同开孔的处理气体。
可通过在支撑构件228内选择性地纳入热控制导管240来加强热控制。将热控制导管安置在支撑构件228内可加强场集中器222的热控制,因为在另一方面,该场集中器222因隔离器220而至少部分地与在回路218内流通的热控制流体热绝缘。场集中器222附近区域的热控制可有助于保持场集中器222的电磁特性。同样也是可选择地,可在场集中器222与支撑构件228之间配置缓冲垫238,以防止对场集中器222的任何损坏,场集中器222可能因与支撑构件228的金属表面的直接接触而轻易受损。缓冲垫238可为导热材料如 是一种由GrafTech International的子公司,位于俄亥俄州的Lakewood的Natural Graphite Operations生产的,并且由位于德克萨斯州的Deer Park的LeaderGlobal Technologies经销的可挠石墨密封材料。
通常,盖组件200可具有任何合适的形状或大小,以用于处理任何尺寸的基板。盖组件200可为圆形、矩形或任意多边形的形状。盖组件200可具有适于处理半导体晶圆的大小和形状,该半导体晶圆用于制造各种类型的半导体芯片,或者盖组件200可具有适于处理半导体面板的大小和形状,该半导体面板例如大面积显示面板或太阳能面板。也可利用在此描述的盖组件处理其它类型的基板,例如LED基板或磁介质基板。在一些实施例中,电感应线圈(或线圈)210可被配置成同心圆的形状、同中心但非圆形的形状(矩形、多边形、方形或不规则图形),或非同心形状例如交互形(boustrophedonic)或锯齿形图案。在另一个非同心的实施例中,电感应线圈(或线圈)210可被配置成螺旋图案。
在一些实施例中,盖组件可与图2中的盖组件200类似,但存在一些差异。在一个实施例中,盖组件可具有凹或凸方向的曲面,该曲面面向基板支撑件。在一个方面中,整个等离子体源可呈弯曲状(即,等离子体源面向基板支撑件的表面,及背向基板支撑件的表面皆为凹面或凸面)。在另一个方面中,只有面向基板支撑件的盖组件的表面可呈弯曲状。在一个实施例中,特别是对于大面积的盖组件,可提供多个喷淋头。在一个实施例中,通过提供穿过支撑构件228的一个或更多个导管,气体可穿过导电构件226而被注入。在其它实施例中,可提供包括单一电路而非多个分立电路的导电线圈。例如,在一个实施例中,导电线圈可被安排成平面形状、圆形形状或矩形螺旋形状,该导电线圈与互补的导电构件嵌套在一起或被配置于互补的导电构件中,使得导电构件与导电线圈形成实质上呈平面的等离子体源。这样的螺旋形状也可在z轴偏移(z-displaced),使得等离子体源并非平坦,而是以凹或凸的方式具有z轴的维度。
图3为根据另一个实施例的盖组件300的分解图。盖组件300在大多数方面与图2的盖组件200类似,并且相同的特征都以同样的识别标签标识。盖组件300包括导管206,该导管206用于将气体传递至腔室的处理区域,盖组件300安装于该腔室上。盖组件300还包括第一射频线圈302和与该第一射频线圈302类似的第二射频线圈304,在图中该第一射频线圈302以分解形式显示。该第一射频线圈302包括被配置于绝缘通道308内的多个导体306。在图3的实施例中,导体306呈圆形以及同心形,但是在替代实施例中,如在本文中所描述的,导体306可被配置成任何合适的型态。导体306中的每一个都具有触点310,该触点310用于向导体306提供功率。如同在本文其它地方所描述的,导体306可为导电管,该导电管被配置成除了携带功率外还装载冷却剂。因此,接点310还可被用来向导体306提供冷却剂。
导体306通常是金属或其它导电材料。上述金属可为单一金属、合金、金属混和物或是金属的其它组合。在一些实施例中,导体306也可被涂覆有非导电材料,例如陶瓷或聚合物。在一个实施例中,导体306为镀银的铜管。通常是根据特定实施例所需的电性质与热性质来决定将使用何种金属。在高功率的应用中,较高的导电性通常将产生较低的热预算(thermal budget),因此导电性更好的材料是有益的。应注意到,当使用多个射频线圈时,每一个线圈可具有不同的组成。例如,镀银的铜管可具有不同的镀银厚度或不同的管壁厚度,以在各铜管中提供不同的导电性。在其它实施例中,每一个射频线圈可仅具有一个导体,或多于两个导体。
绝缘体312被配置于导体306上方,从而导体306被绝缘材料包围。这能防止电功率流至安插于第一射频线圈302与第二射频线圈304之间的导电环314与导电环316。绝缘体312包括壁,该壁在图3的俯视图中不可见。该壁在两个导体306之间延伸,以防止在给定的射频线圈302或304中的导体306之间的电串扰(cross talk)。因此,每一个导体306被绝缘材料包围。当功率被提供给导体306时,该导体306产生磁场。为了提升效率,场集中器318被配置成部分围绕导体306,以将磁场聚集并将磁场引导至处理区域的方向。
绝缘体312还包括用于每一个触点310的通道320。通道320穿过在场集中器318中的开孔,以为将耦接至电功率的接点310提供通路,同时防止接点310与场集中器318之间的电接触。该触点穿过场集中器318而突出,在该突出处这些接点可被耦接至射频源。
如同图2的实施例,任意数量的射频线圈可被配置在盖组件300中。除了以导管206的方式或是取代导管206的方式,也可经由导电环314与316提供处理气体,这是通过在具有开孔的导电环314与316内提供导管以释放处理气体进入处理区域来实现的。根据在此所述的任一个实施例,也可使盖组件300形成为具有一定曲率。
在此公开的实施例还提供一种在处理腔室内的基板支撑件上处理基板的方法。可在面向基板支撑件的位置提供等离子体源,以形成用于处理基板的等离子体。该方法包括提供等离子体源,该等离子体源具有多个配置在电极内的导电回路;向腔室提供处理气体;将电极接地;以及通过对导电回路施加功率而从处理气体形成等离子体。该导电回路可通过涂覆、包覆(wrapping)或将该回路安放(situating)于电绝缘材料内的方式而与电极电绝缘,该电绝缘材料可为容器,涂覆于导电回路的涂层,或者配置于通道(该通道形成于电极内)内部的衬里,所述容器例如形成于电极内的通道。射频功率被施加于该回路,并且可被独立地控制以在处理腔室内形成一定的等离子体密度。如果需要,可通过使热控制媒介在管状导电回路内流通的方式,而使该导电回路被热控制,所述热控制媒介例如冷却液。
在一些实施例中,导电回路可与电极实质上共平面。在其它实施例中,电极可为非平面,而在该电极内设置有导电回路。同样在其它实施例中,导电回路可部分被配置在电极内而部分被配置在电极外,同时该导电回路被配置在电极外部的任何部分被容纳或被封装在绝缘材料里。
可通过提供场集中器来进一步增强等离子体,该场集中器被配置用来在处理腔室的等离子体区域内将磁场集中。例如,该场集中器通常可配置于基板支撑件对面,使得导电回路介于场集中器与基板支撑件之间。这种定位防止磁场线在腔室外发展,并且将等离子体源能量聚集在处理气体内。
虽然以上描述是针对本发明的实施例,但是可以在不偏离本发明的基本范围的条件下设计出本发明的其它和更多的实施例,而这些实施例的范围由所附的权利要求书所决定。

Claims (13)

1.一种用于等离子体腔室的盖组件,该盖组件包括:
气体分配器;
支撑板,所述支撑板被配置于所述气体分配器的周围;
第一导电环,所述第一导电环被配置于所述气体分配器的周围;
第一环形电感应线圈,该第一环形电感应线圈被配置于第一绝缘通道内,所述第一绝缘通道与所述第一导电环嵌套在一起;以及
第二环形电感应线圈,该第二环形电感应线圈被配置于第二绝缘通道内,所述第二绝缘通道与所述第一导电环嵌套在一起;以及
绝缘体,所述绝缘体被配置于所述第一环形电感应线圈上方,从而所述第一环形电感应线圈被绝缘材料包围,
场集中器,所述场集中器被配置于在所述第一环形电感应线圈周围的所述第一绝缘通道内。
2.如权利要求1所述的盖组件,其中该第一环形电感应线圈与该第二环形电感应线圈各包括金属管,该第一环形电感应线圈被嵌套在该导电环的中央开孔内,且该第二环形电感应线圈被嵌套在该导电环的外围边缘的周围,该导电环与该第一环形电感应线圈以同心的方式安置。
3.一种用于等离子体腔室的盖组件,该盖组件包括:
气体分配器;
支撑板,该支撑板被配置于该气体分配器的周围;
导电环,该导电环被配置于该气体分配器的周围并且被耦接至该支撑板;
环形电感应线圈,该环形感应线圈被配置于绝缘通道内,该绝缘通道与该导电环嵌套在一起;以及
绝缘体,该绝缘体被配置于该环形电感应线圈上方,从而该环形电感应线圈被绝缘材料包围,
场集中器,该场集中器被配置于在该电感应线圈周围的该绝缘通道内。
4.如权利要求3所述的盖组件,其中该绝缘通道被同心地配置于该导电环的中央开孔内,该绝缘通道具有面对该支撑板的开孔,且该绝缘通道具有超出该导电环的内边缘的延伸部分。
5.如权利要求4所述的盖组件,其中该支撑板可导电并且电连接至该导电环。
6.如权利要求3所述的盖组件,其中该气体分配器耦接至导管,该导管经过该支撑板的中央开孔、该导电环以及该环形电感应线圈,该支撑板电连接至该导电环,该环形电感应线圈与该支撑板以及该导电环电绝缘,并且该环形电感应线圈与该导电环实质上共平面。
7.如权利要求3所述的盖组件,还包括:隔离器,该隔离器被配置于该绝缘通道内,该隔离器具有通道,该环形电感应线圈适配于该通道内。
8.如权利要求7所述的盖组件,其中该环形电感应线圈与该导电环实质上共平面,并且该环形电感应线圈包括用于热控制媒介的导管。
9.一种用于半导体基板的处理腔室,该处理腔室包括:
腔室主体,该腔室主体界定内部区域;
基板支撑件,该基板支撑件被配置于该内部区域内;以及
盖组件,该盖组件被配置于该内部区域内并面向该基板支撑件,该盖组件包括:
气体分配器;以及
等离子体源,该等离子体源具有第一导电表面、第二导电表面以及多个环形电感应线圈,该第一导电表面面向该基板支撑件,该第二导电表面背对该基板支撑件,该多个环形电感应线圈被配置于导电等离子体源内,该导电等离子体源介于该第一导电表面与该第二导电表面之间,其中每个环形电感应线圈被配置于绝缘通道内,所述绝缘通道与导电环嵌套在一起,所述导电环被配置于所述气体分配器周围,
其中绝缘体被配置于该环形电感应线圈上方,从而该环形电感应线圈被绝缘材料包围。
10.如权利要求9所述的处理腔室,其中每一个该环形电感应线圈被配置于导管内,该导管形成于该导电等离子体源内,并且该导管以绝缘材料作为衬里。
11.如权利要求10所述的处理腔室,其中在该导管内配置磁场集中器。
12.如权利要求11所述的处理腔室,其中每一个环形电感应线圈形成有内部通路,该内部通路用于热控制媒介。
13.如权利要求12所述的处理腔室,其中每一个环形电感应线圈包括由绝缘体分隔的多个导电回路。
CN201180024010.3A 2010-05-14 2011-04-25 使用磁场集中器的具有金属喷淋头的电感应式等离子体源 Active CN102893705B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/780,531 2010-05-14
US12/780,531 US20110278260A1 (en) 2010-05-14 2010-05-14 Inductive plasma source with metallic shower head using b-field concentrator
PCT/US2011/033735 WO2011142957A2 (en) 2010-05-14 2011-04-25 Inductive plasma source with metallic shower head using b-field concentrator

Publications (2)

Publication Number Publication Date
CN102893705A CN102893705A (zh) 2013-01-23
CN102893705B true CN102893705B (zh) 2017-05-03

Family

ID=44910845

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180024010.3A Active CN102893705B (zh) 2010-05-14 2011-04-25 使用磁场集中器的具有金属喷淋头的电感应式等离子体源

Country Status (6)

Country Link
US (3) US20110278260A1 (zh)
JP (2) JP2013533575A (zh)
KR (1) KR101826843B1 (zh)
CN (1) CN102893705B (zh)
TW (1) TWI520169B (zh)
WO (1) WO2011142957A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
JP5745812B2 (ja) * 2010-10-27 2015-07-08 東京エレクトロン株式会社 プラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
TWI596644B (zh) * 2012-03-22 2017-08-21 藍姆研究公司 流體分配元件組件及電漿處理設備
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
TW201405627A (zh) * 2012-07-20 2014-02-01 Applied Materials Inc 具有同軸rf饋送及同軸遮罩之對稱的感應性耦合電漿源
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10249479B2 (en) * 2015-01-30 2019-04-02 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of ICP plasmas
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9953888B1 (en) * 2016-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Electromagnetic detection device and semiconductor manufacturing system
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10629415B2 (en) 2017-03-28 2020-04-21 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrate
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN108882494B (zh) * 2017-05-08 2022-06-17 北京北方华创微电子装备有限公司 等离子体装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11177067B2 (en) * 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11094508B2 (en) 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7221115B2 (ja) * 2019-04-03 2023-02-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6909824B2 (ja) * 2019-05-17 2021-07-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11499231B2 (en) 2020-04-09 2022-11-15 Applied Materials, Inc. Lid stack for high frequency processing
WO2022093273A1 (en) * 2020-10-30 2022-05-05 Applied Materials, Inc. Rf delivery and feedthrough assembly to a processing chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1221460A (zh) * 1996-06-05 1999-06-30 兰姆研究公司 等离子加工室的温控方法及设备
US6225746B1 (en) * 1999-03-03 2001-05-01 Anelva Corporation Plasma processing system
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6259209B1 (en) * 1996-09-27 2001-07-10 Surface Technology Systems Limited Plasma processing apparatus with coils in dielectric windows

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
KR920003424A (ko) * 1990-07-13 1992-02-29 미다 가쓰시게 표면처리 장치, 표면처리방법 및 반도체장치의 제조방법
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
DE69218720T2 (de) 1991-10-17 1997-07-17 Applied Materials Inc Plasmareaktor
JPH05136094A (ja) 1991-11-11 1993-06-01 Ramuko Kk プラズマリアクター
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
JP3399467B2 (ja) * 1993-08-19 2003-04-21 東京エレクトロン株式会社 プラズマ処理装置及びクリーニング方法
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH08296037A (ja) * 1995-04-24 1996-11-12 Sony Corp 蒸着装置
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JP3153768B2 (ja) * 1995-08-17 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US6534922B2 (en) * 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
JP4405496B2 (ja) * 1997-02-24 2010-01-27 株式会社エフオーアイ プラズマ処理装置
KR100469047B1 (ko) * 1997-04-11 2005-01-31 동경 엘렉트론 주식회사 처리장치, 상부전극유니트와 그 사용방법 및 전극유니트와 그 제조방법
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
GB9714341D0 (en) * 1997-07-09 1997-09-10 Surface Tech Sys Ltd Plasma processing apparatus
US6076482A (en) 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6197165B1 (en) 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
JP4046207B2 (ja) * 1998-08-06 2008-02-13 株式会社エフオーアイ プラズマ処理装置
WO2000017906A2 (en) * 1998-09-22 2000-03-30 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
JP2000315598A (ja) * 1999-03-03 2000-11-14 Anelva Corp プラズマ処理装置
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
AT502984B8 (de) * 2003-09-15 2008-10-15 Qasar Technologieentwicklung Gmbh Verfahren und einrichtung zur erzeugung von alfven-wellen
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
JP4528799B2 (ja) * 2006-07-31 2010-08-18 株式会社リガク 全反射蛍光x線分析装置
WO2008024392A2 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
JP4906448B2 (ja) * 2006-09-11 2012-03-28 新明和工業株式会社 プラズマガンの中間電極ユニット及びそれを備えるプラズマガン
KR101281188B1 (ko) 2007-01-25 2013-07-02 최대규 유도 결합 플라즈마 반응기
JP4950763B2 (ja) * 2007-05-25 2012-06-13 大陽日酸株式会社 プラズマ生成装置
US7976674B2 (en) 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
KR101358780B1 (ko) * 2007-07-20 2014-02-04 최대규 히터가 설치된 유도 결합 플라즈마 소스를 구비한 플라즈마반응기
JP5139029B2 (ja) * 2007-10-24 2013-02-06 ラム リサーチ コーポレーション プラズマ処理装置
KR100953828B1 (ko) * 2008-01-15 2010-04-20 주식회사 테스 플라즈마 처리장치
KR20090009369U (ko) 2008-03-14 2009-09-17 킴스핸들 주식회사 걸이구가 구비된 조리용기 손잡이
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1221460A (zh) * 1996-06-05 1999-06-30 兰姆研究公司 等离子加工室的温控方法及设备
US6259209B1 (en) * 1996-09-27 2001-07-10 Surface Technology Systems Limited Plasma processing apparatus with coils in dielectric windows
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6225746B1 (en) * 1999-03-03 2001-05-01 Anelva Corporation Plasma processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal

Also Published As

Publication number Publication date
JP2013533575A (ja) 2013-08-22
TWI520169B (zh) 2016-02-01
TW201145350A (en) 2011-12-16
CN102893705A (zh) 2013-01-23
US20170194128A1 (en) 2017-07-06
US11450509B2 (en) 2022-09-20
JP2016122654A (ja) 2016-07-07
US10529541B2 (en) 2020-01-07
US20110278260A1 (en) 2011-11-17
KR20130079435A (ko) 2013-07-10
US20200144027A1 (en) 2020-05-07
WO2011142957A2 (en) 2011-11-17
WO2011142957A3 (en) 2012-02-23
KR101826843B1 (ko) 2018-02-07

Similar Documents

Publication Publication Date Title
CN102893705B (zh) 使用磁场集中器的具有金属喷淋头的电感应式等离子体源
CN103578906B (zh) 用于处理基板的装置
US8028655B2 (en) Plasma processing system with locally-efficient inductive plasma coupling
US7255774B2 (en) Process apparatus and method for improving plasma production of an inductively coupled plasma
KR100486712B1 (ko) 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US5998933A (en) RF plasma inductor with closed ferrite core
CN100423196C (zh) 螺旋谐振器型等离子体处理设备
US8917022B2 (en) Plasma generation device and plasma processing device
US6523493B1 (en) Ring-shaped high-density plasma source and method
KR100797206B1 (ko) 대영역 플라즈마 소스에서의 균일하게 가스를 분배하기위한 장치 및 그 방법
JPH0778698A (ja) 誘導結合型高周波放電方法および誘導結合型高周波放電装置
KR20000023689A (ko) 다중-구역 고-밀도 유도-결합된 플라즈마 발생을 위한 장치 및 방법
US20150053645A1 (en) Plasma processing apparatus and plasma processing method
CN111095476B (zh) 用于等离子体处理设备的冷却聚焦环
KR102125028B1 (ko) 마그네틱 코어 냉각용 냉각키트 및 이를 구비한 플라즈마 반응기
US20070235327A1 (en) Internal coil with segmented shield and inductively-coupled plasma source and processing system therewith
US20220157576A1 (en) Plasma processing apparatus
TWI406336B (zh) 高密度電漿產生器
KR102384274B1 (ko) 냉각구조를 개선한 플라즈마 반응기
KR20230158325A (ko) 플라즈마 공정용 윈도우 플레이트 및 이를 이용한 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant