KR20130079435A - B-필드 집중기를 사용하는 금속성 샤워헤드를 구비한 유도 플라즈마 소오스 - Google Patents

B-필드 집중기를 사용하는 금속성 샤워헤드를 구비한 유도 플라즈마 소오스 Download PDF

Info

Publication number
KR20130079435A
KR20130079435A KR1020127032671A KR20127032671A KR20130079435A KR 20130079435 A KR20130079435 A KR 20130079435A KR 1020127032671 A KR1020127032671 A KR 1020127032671A KR 20127032671 A KR20127032671 A KR 20127032671A KR 20130079435 A KR20130079435 A KR 20130079435A
Authority
KR
South Korea
Prior art keywords
conductive
disposed
induction coil
cover assembly
conductive ring
Prior art date
Application number
KR1020127032671A
Other languages
English (en)
Other versions
KR101826843B1 (ko
Inventor
캔펭 라이
제프리 토빈
피터 아이. 포르슈네브
조세 안토니오 마린
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130079435A publication Critical patent/KR20130079435A/ko
Application granted granted Critical
Publication of KR101826843B1 publication Critical patent/KR101826843B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)

Abstract

기판들을 플라즈마 프로세싱하기 위한 장치와 방법이 제공된다. 프로세싱 챔버는 기판 지지체와, 상기 기판 지지체를 대면하는 덮개 조립체를 갖는다. 상기 덮개 조립체는 내포된 전도성 링들을 포함할 수 있는 전도성 판 내부에 배치된 유도 코일을 포함하는 플라즈마 소오스를 갖는다. 상기 유도 코일은 상기 전도성 판과 실질적으로 동일 평면상에 놓이며, 상기 전도성 판에 형성된 채널 내부에 결합되는 절연체에 의해 상기 전도성 판으로부터 절연되거나, 상기 전도성 링들 내부에 내포된다. 필드 집중기가 상기 유도 코일 주위에 제공되며, 아이솔레이터들에 의해 그로부터 절연된다. 상기 플라즈마 소오스는 전도성 지지판으로부터 지지된다. 상기 전도성 판을 관통하여 배치된 도관으로부터 플라즈마 소오스와 상기 지지판의 중앙 개구를 통해 상기 챔버로 가스를 가스 분배기가 공급한다.

Description

B-필드 집중기를 사용하는 금속성 샤워헤드를 구비한 유도 플라즈마 소오스{INDUCTIVE PLASMA SOURCE WITH METALLIC SHOWER HEAD USING B-FIELD CONCENTRATOR}
본 명세서에 개시된 실시예들은 일반적으로 반도체 장치들의 제조에 관한 것이다. 더 구체적으로, 본 명세서에 개시된 실시예들은 기판들을 플라즈마 프로세싱하기 위한 장치 및 방법들에 관한 것이다.
플라즈마 프로세싱은 집적 회로들, 평판 디스플레이들, 자기 매체 및 다른 장치들을 제조하는 많은 반도체 제조 프로세스들을 위해 흔히 사용되고 있다. 플라즈마 또는 이온화된 가스는 챔버 내의 저압 가스에 대해 전자기장을 인가함으로써 프로세싱 챔버 내부에서 발생된 다음, 증착, 에칭 또는 주입 같은 프로세스를 수행하기 위해 피가공물에 도포된다. 또한, 플라즈마가 챔버 외부에서 발생된 다음, 그러한 처리들이 필요한 프로세스들을 위해 플라즈마에서의 이온들에 대한 라디칼들의 비율을 높이기 위해 압력하에 챔버로 전달될 수도 있다.
플라즈마는 전기장들에 의해, 자기장들에 의해 또는 전자기장들에 의해 발생될 수 있다. 전기장에 의해 발생되는 플라즈마는, 일반적으로, 가스가 차지한 공간에서 전기장을 발생시키기 위해 이격된 전극들을 사용한다. 전기장은 가스를 이온화하고, 생성된 이온들과 전자들은 전기장의 영향하에 하나의 전극 또는 다른 전극을 향하여 이동한다. 전기장은 피가공물 상에 충돌하는 이온들에게 매우 높은 에너지들을 제공할 수 있는데, 이는 피가공물로부터 물질이 튀어나오게 하고, 피가공물을 손상시키며, 챔버 내에 잠재적 오염 입자들을 생성할 수 있다. 또한, 그러한 플라즈마들을 수반하는 고전위들이 원치 않는 방전들 또는 기생 전류들을 생성할 수 있다.
용량적으로 결합된 플라즈마의 몇몇 효과들을 피하기 위해 많은 환경들에서 유도적으로 결합된 플라즈마가 사용되고 있다. 프로세싱 챔버의 플라즈마 발생 영역에 인접하여 유도 코일이 배치된다. 유도 코일은 챔버 내부의 가스를 이온화하기 위해 챔버 속으로 자기장을 투사한다. 유도 코일은 흔히 챔버 외부에 위치되어, 유전체 윈도우를 통해 챔버 속으로 자기장을 투사한다. 유도 코일은 고주파 전자기 에너지에 의해 흔히 구동되는데, 이는 유도 코일에 인가되는 전압보다 더 빠르게 상승하는 전력 손실을 겪게 된다. 따라서, 챔버 내부의 플라즈마와 플라즈마 소오스의 강력한 커플링이 전력 손실을 감소시킨다. 또한, 플라즈마 소오스와 플라즈마 사이의 강력한 커플링에 의해 플라즈마 균일도의 제어도 향상된다.
여러 반도체 산업들에서 장치의 기하학적 구조가 감소함에 따라, 신뢰성 있는 장치의 제조를 위해, 일반적으로, 프로세스 균일도와, 특히, 플라즈마 균일도가 점점 더 유용해지고 있다. 따라서, 유도 플라즈마 프로세싱 장치 및 방법들이 계속 요구되고 있다.
본 명세서에 개시된 실시예들은 플라즈마 챔버를 위한 덮개 조립체를 제공하며, 상기 덮개 조립체는 제 1 전도성 링에 내포(nest)된 제 1 환형 유도 코일을 갖는다.
다른 실시예들은 반도체 기판을 위한 프로세싱 챔버를 제공하며, 상기 프로세싱 챔버는 내부 영역을 규정하는 챔버 본체, 상기 내부 영역에 배치된 기판 지지체, 및 상기 기판 지지체를 대면하며 상기 내부 영역에 배치된 덮개 조립체를 갖고, 상기 덮개 조립체는 가스 분배기와, 상기 기판 지지체를 대면하는 제 1 전도성 표면, 상기 기판 지지체로부터 반대측을 향하고 있는 제 2 전도성 표면 및 상기 제 1 표면과 제 2 표면 사이의 당해 전도성 플라즈마 소오스 내에 배치된 복수의 전도성 코일들을 구비한 플라즈마 소오스를 갖는다.
다른 실시예들은, 기판을 프로세싱 챔버 내의 기판 지지체 상에 배치하는 단계, 상기 기판 지지체를 대면하여 플라즈마 소오스를 제공하는 단계로서, 상기 플라즈마 소오스는 당해 플라즈마 소오스와 상기 기판 지지체 사이에 프로세싱 영역을 규정하기 위해 전극 내에 배치된 복수의 전도성 루프들을 포함하는, 상기 플라즈마 소오스를 제공하는 단계, 상기 프로세싱 영역에 가스 혼합물을 제공하는 단계, 상기 전극을 접지하는 단계, 및 상기 전도성 루프들에 대해 전력을 인가함으로써 상기 가스 혼합물로부터 플라즈마를 형성하는 단계에 의해, 기판을 프로세싱하기 위한 방법을 제공한다.
본 발명의 전술한 특징들이 상세하게 이해될 수 있도록, 첨부도면에 그 일부가 도시된 실시예들을 참조하여, 위에서 약술한 본 발명을 보다 구체적으로 설명하였다. 그러나, 첨부도면들은 단지 본 발명의 전형적인 실시예들을 도시하고 있을 뿐이며, 본 발명은 다른 동등한 효과를 가진 실시예들을 포함할 수 있으므로, 그 범위를 제한하는 것으로 이해되어서는 아니됨을 유의하여야 한다.
도 1은 일 실시예에 따른 프로세싱 챔버의 개략적인 단면도이다.
도 2는 다른 실시예에 따른 가스 분배기의 개략적인 단면도이다.
도 3은 다른 실시예에 따른 가스 분배기의 분해도이다.
도 1은 일 실시예에 따른 프로세싱 챔버(100)의 개략적인 단면도이다. 프로세싱 챔버(100)는 챔버 본체(102), 기판 지지체(104) 및 상기 기판 지지체(104)를 대면하는 가스 분배기(106)를 포함하며, 이들은 협력하여 프로세싱 영역(118)을 규정한다. 가스 분배기(106)는 샤워헤드(108)와, 상기 샤워헤드(108)를 둘러싼 플라즈마 소오스(110)를 포함한다. 플라즈마 소오스(110)는 전도성 스페이서(114)와, 상기 전도성 스페이서(114) 내부에 배치된 전도성 코일(112)을 포함한다. 전도성 스페이서(114) 내부에 하나 또는 그 초과의 전도성 코일(112)들이 배치되어 있을 수 있다. 전도성 스페이서(114)는 전도성 코일(112)들을 수납하는 채널들 또는 도관들을 구비한 디스크형 부재일 수 있다. 대안적으로, 전도성 스페이서(114)는 전도성 코일(112)들을 분리하며 전도성 코일(112)들을 내포하고 있는 복수의 링들일 수 있다. 각각의 전도성 코일(112)들은 절연 물질로 라인이 형성된 채널 또는 리세스(116) 내에 수납된다. 상기 채널 또는 리세스(116)의 절연 물질은 전도성 코일(112)들로부터 전도성 스페이서(114)로 전류가 이동하는 것을 방지한다. 상기 전도성 코일(112)들은 프로세싱 영역(118)에 자기장을 생성하며, 이는 그 내부에 있는 프로세싱 가스를 이온화하여 플라즈마를 형성한다. 일부 실시예들에서, 상기 전도성 코일(112)은, 도 2와 관련하여 이하에 더 설명되어 있는 바와 같이, 제거가능한 절연 부재를 특징으로 하는 코일 조립체일 수 있다.
상기 전도성 스페이서(114)는 기판 지지체(104)를 대면하는 대표면적 접지 전극을 제공한다. 상기 대형 접지 전극은 더 낮은 전력 레벨들을 사용하여 더 높은 전압들이 상기 기판 지지체에서 발생될 수 있도록 허용한다. 상기 전도성 스페이서(114) 내에 전도성 코일(112)들을 배치함으로써, 플라즈마 소오스가 프로세싱 영역(118)의 플라즈마 발생 영역에 가까워지며, 플라즈마와의 커플링 효율이 향상된다. 또한, 상기 전도성 스페이서(114)의 대형 접지 표면적은 챔버에서 플라즈마 시스 전압(sheath voltage)을 줄이고, 이는 챔버 벽체들과 챔버 덮개 부품들의 스퍼터링을 줄이며, 기판 지지체 상에 배치된 피가공물의 오염을 줄이게 된다. 또한, 다수의 전도성 코일(112)들의 사용은 프로세싱 영역(118)에서 플라즈마 프로파일을 조정하기 위해 코일들에서 서로 다른 전력 레벨들을 사용할 수 있는 가능성을 제공한다.
도 2는 다른 실시예에 따른 덮개 조립체(200)의 개략적인 단면도이다. 도 1의 가스 분배기(106)와 유사하게, 상기 덮개 조립체(200)는 샤워헤드(202)와 플라즈마 소오스(204)를 포함한다. 가스 도관(206)은 샤워헤드(202)에 가스 소오스(미도시)를 연결하며, 샤워헤드(202) 내의 개구(208)들을 통해 프로세싱 챔버와 유체 소통하도록 상기 가스 소오스를 배치한다.
상기 플라즈마 소오스(204)는 전도성 가스 분배 부재(214)들 사이에 형성된 채널(212) 내에 배치된 전도성 코일(210)을 포함한다. 상기 가스 분배 부재(214)들은 금속 또는 금속 합금일 수 있으며, 일부 실시예들에서, 필요하다면, 유전체 물질, 또는 이트리아 같은 화학적으로 저항성이 있거나 플라즈마에 대해 저항성이 있는 물질로 코팅될 수 있다. 1개를 초과할 수 있는 상기 전도성 코일(210)도 금속, 금속 합금, 또는 금속 코팅된 유전체 또는 서로 다른 전도도들을 가진 금속들을 특징으로 하는 금속 합성물 같은 전도성 합성물일 수 있다. 전도성 코일(210)을 위한 금속의 선택은, 일반적으로, 원하는 열적 및 전기적 전도도에 따라 좌우된다. 낮은 전기적 전도도를 가진 물질들이 일반적으로 저렴하지만, 전도도가 낮은 물질로 제조된 전도성 코일은 원하지 않는 열을 발생시킬 수 있으며, 작동을 위해 과다한 전력이 필요할 수 있다. 구리와 은 같은 전도도가 높은 물질들이 전도성 코일을 위해 능숙하게 사용될 수 있다. 알루미늄, 아연 또는 니켈 같이 전도도가 낮고 저렴한 물질들이 합금 또는 층 성분들로서 포함될 수 있다.
질소와 같은 냉각 가스 또는 물과 같은 냉각액일 수 있는 열 조절 매체를 위한 도관을 구비하도록 전도성 코일(210)을 형성함으로써, 열이 소산될 수 있다. 일부 실시예들에서, 전도성 코일(210)은 환형 또는 토로이달(toroidal)형 튜브일 수 있다. 튜브 벽체 두께는 필요한 열적 및 전기적 전도도에 기초하여 특정될 수 있다. 예컨대, 약 500W를 초과하는 높은 전력이 전도성 코일(210)에 인가된다면, 냉각이 유용할 수 있다. 일 실시예에서, 전도성 코일은 구리층과 은층을 포함하는 토로이달 튜브이다.
일반적으로, 채널(212)은, 세라믹 또는 플라스틱, 예컨대, 테프론일 수 있는 절연 부재(216)로 라인이 형성되어 있다. 절연 부재(216)는 전도체 코일(210)로 전류를 제한한다. 절연 물질은 채널(212)에 결합되는 인서트일 수 있으며, 다른 실시예들에서, 채널(212)의 내면에 접착되는 라이너일 수 있다. 도 2의 실시예는 2개의 절연 부재(216)들을 특징으로 하고 있으며, 이들은 각각의 채널 내부에 각각 결합되는 환형 부재이고, 절연 부재(216)들 중 하나는 도 2의 실시예에서 제 1 채널인 최내측 채널(212) 내부에 결합되며, 다른 절연 부재(216)는 도 2의 실시예에서 제 2 채널인 최외측 채널(212) 내부에 결합된다. 각각의 채널(212)은 그 내부에 배치된 전도성 코일(210)을 갖는다. 도 2의 실시예에서, 각각의 전도성 코일은 2개의 전도성 루프(218)들을 포함한다. 한 쌍의 전도성 루프(218)들은 각각의 절연 부재(216)들에 의해 형성된 리세스들 내부에 내포되어 있다.
2개의 전도성 루프(218)들은 각각의 아이솔레이터(220)들에 의해 하나가 다른 하나로부터 전기적으로 격리되어 있으며, 아이솔레이터는 각각의 전도성 루프(218)를 둘러싸는 역할을 한다. 도 2의 실시예에서, 각각의 아이솔레이터(220)는 전도성 루프(218)가 속으로 결합되는 리세스(224)를 가진 환형 유전체 부재이다. 아이솔레이터(220)의 리세스(224)와 아이솔레이터(220)가 속으로 결합되는 채널(212)은, 일반적으로, 반대 방향을 대면하고 있다. 따라서, 각각의 전도성 루프는 3개의 면이 아이솔레이터(220)에 의해 둘러싸이고, 1개의 면이 절연 부재(216)에 의해 둘러싸인다. 아이솔레이터(220)가 임의의 편리한 단면 형상을 가질 수 있음을 유의하여야 한다. 예컨대, 대안적 실시예에서, 아이솔레이터(220)는, 리세스(224)가 라운드형 단면 형상을 갖도록, 라운드형의 튜브 같은 전도성 루프(218)의 외형을 따라 라운드형일 수 있다. 다른 실시예에서, 각각의 아이솔레이터(220) 및/또는 각각의 리세스(224)의 단면 프로파일은 사선형 코너들을 구비한 직사각형일 수 있다. 또 다른 실시예들에서, 전도성 루프(218)들은 루프를 격리하는 코팅을 구비하여 형성될 수 있다. 아이솔레이터(220)는 세라믹, 유리 또는 플라스틱 같은 임의의 절연 물질일 수 있다. 도 2의 실시예에서, 각각의 아이솔레이터(220)는 단일의 전도성 루프(218)를 덮고 있는 단체(single piece)로서 도시되어 있으나, 대안적 실시예들에서, 아이솔레이터는 2개의 이웃한 전도성 루프(218)들을 덮으면서 그들 사이에 벽체를 배치하도록 형성될 수 있다.
각각의 전도성 코일(210)에 의해 생성된 자기장을 증폭하기 위해, 각각의 전도성 코일(210) 주위에 필드 집중기(222)가 배치된다. 도 2의 실시예에서, 집중기(222)는 한 쌍의 전도성 루프(218)들과 그들 각각의 아이솔레이터(220)들 주위에 배치되지만, 다른 실시예들에서, 각각의 루프(218)가 필드 집중기(222)와 쌍을 이루거나, 2개를 초과하는 루프(218)들이 필드 집중기(222)에 커플링될 수 있다. 필드 집중기(222)는 각각의 전도성 코일(210)에 의해 생성된 자기장을 프로세싱 챔버의 플라즈마 발생 영역을 향해 포커싱하여, 플라즈마 발생 영역으로부터 멀리 투사되는 자기 에너지를 최소화한다. 일반적으로, 각각의 필드 집중기(222)는 페라이트 또는, 저보자력 물질들과 같이, 자기적으로 민감하거나 자화가능한 다른 물질들을 포함한다. 전도성 코일(210)의 온도 제어는 필드 집중기(222)의 온도 변화를 최소화하며, 전도성 코일(210)에 의해 생성되는 자기장의 제어를 위해 그 자기적 특성들을 유지한다.
유도 코일(210)들은 가스 분배 부재(214)들 사이에 개재되어 있으며, 상기 가스 분배 부재들은 절연 부재(216)들에 내포되어 이와 협력하여 채널(212)들을 규정한다. 전도성 부재(226)들도 유도 코일(210)들 및 가스 분배 부재(214)들과 함께 개재될 수 있다. 일 실시예에서, 전도성 부재(226)들은 금속, 금속 합금 또는 금속 혼합물들을 포함하는 링들이며, 이들 각각은 지지 부재(228)에 부착될 수 있다. 절연 부재(216)들은 전도성 부재(226)들과 가스 분배 부재(214)들 사이에 결합되어 상기 전도성 부재(214, 226)들과 실질적으로 동일 평면상의 구조로 채널(212)을 제공함으로써, 유도 코일(210)들이 상기 전도성 부재(214, 226)들과 실질적으로 동일 평면상에 놓이도록 한다.
일반적으로, 상기 지지 부재(228)도 전도성이다. 일부 실시예들에서, 상기 지지 부재(228)는 금속 블럭이다. 상기 지지 부재(228)는 리세스(230)들을 갖고, 상기 리세스들은 전도성 부재(226)들과 함께 포획 공간(232)들을 규정하며, 이 포획 공간 속으로는 덮개 조립체(200)에 절연 부재(216)들을 고정하기 위해 각각의 절연 부재(216)의 각각의 견부(shoulder portions)(234)들이 포획된다. 전도성 부재(214, 226)들은 대형의 접지면이 플라즈마에 근접할 수 있도록 허용함으로써, 더 낮은 전력 레벨들과 더 낮은 열 입력으로 기판 지지체에서 더 높은 바이어스 전압이 사용될 수 있도록 한다(도 1). 또한, 도 2의 덮개 조립체 구조는 유도 코일(210)들의 플라즈마 소오스 에너지를 프로세싱 영역의 가스와 근접시킴으로써, 결국, 더 낮은 전력 레벨들로 더 높은 플라즈마 밀도가 생기게 한다. 또한, 상기 유도 코일(210)들과 같은 다수의 유도 코일들의 사용은, 각각의 유도 코일에 인가되는 전력 레벨을 조절함으로써, 챔버에서 발생되는 플라즈마 프로파일의 조정을 가능하게 한다.
상기 지지 부재(228)는 전도성 가스 분배 부재(214)들로 프로세스 가스들을 전달하는 하나 또는 그 초과의 도관(236)들을 포함한다. 아울러, 일부 실시예들에서, 상기 전도성 가스 분배 부재(214)들은 균일한 가스 분배를 위하여 당해 가스 분배 부재(214)의 주연부 주위의 도관(236)으로부터 가스를 분산시키는 도관들(미도시)을 포함할 수 있다. 유도 코일(210)들과 함께 전도성 가스 분배 부재(214)들을 개재함으로써, 상기 장치(200)는 플라즈마 소오스와 샤워헤드 모두로서 사용될 수 있다. 가스의 흐름은 장치의 면을 가로질러 균일하게 분배되며, RF 전력이 여러 개구들을 빠져나가는 프로세스 가스에 대해 근접-커플링(close-coupled)된다.
상기 지지 부재(228)에 온도 제어 도관(240)들을 선택적으로 포함시킴으로써 온도 제어가 강화될 수 있다. 상기 지지 부재(228)에 온도 제어 도관들을 위치시킴으로써 필드 집중기(222)들의 온도 제어를 강화할 수 있으며, 그렇지 않으면, 상기 필드 집중기들은 루프(218)들을 순환하는 임의의 온도 제어 유체로부터 아이솔레이터(220)들에 의해 적어도 부분적으로 절연된다. 필드 집중기(222)들 부근에서의 온도 제어는 필드 집중기(222)들의 전자기적 특성들을 유지하는데 있어서 유리할 수 있다. 또한, 선택적으로, 필드 집중기(222)들에 대한 어떤 손상도 피하기 위해, 필드 집중기(222)들과 지지 부재(228) 사이에 쿠션(238)이 배치될 수 있으며, 필드 집중기(222)들은 지지 부재(228)의 금속 표면과의 직접 접촉에 의해 쉽게 손상될 수 있다. 쿠션(238)은 GrafTech International의 자회사인 오하이오주 레이크우드에 소재한 Natural Graphite Operations에서 제조하고, 텍사스주 디어 파크에 소재한 Leader Global Technologies에서 유통하는 가요성 그라파이트 밀봉 물질인 Grafoil® 같은 열전도성 물질일 수 있다.
일반적으로, 상기 덮개 조립체(200)는 임의의 치수의 기판들을 프로세싱하기 위한 임의의 편리한 형상 또는 크기를 가질 수 있다. 덮개 조립체(200)는 원형, 직사각형 또는 임의의 다각형 형상일 수 있다. 덮개 조립체(200)는 임의의 사양을 가진 반도체 칩들을 제조하기 위한 반도체 웨이퍼들을 프로세싱하도록 된 크기 및 형상일 수 있으며, 또는 덮개 조립체(200)는 대면적 디스플레이 또는 태양전지 패널들과 같은 반도체 패널들을 프로세싱하도록 된 크기 및 형상일 수 있다. LED 기판들 또는 자기 매체 기판들 같은 다른 유형의 기판들도 본 명세서에 개시된 바와 같은 덮개 조립체를 사용하여 프로세싱될 수 있다. 일부 실시예들에서, 전도성 코일(또는 코일들)(210)은 동심의 원 형상, 동심의 비(非)원(직사각형, 다각형, 정사각형 또는 불규칙형) 형상, 또는 부스트로피도닉(boustrophedonic) 또는 지그재그 패턴 같은 비(非)동심 형상으로 배치될 수 있다. 다른 비동심 실시예에서, 전도성 코일(또는 코일들)(210)은 나선형 패턴으로 배치될 수 있다.
일부 실시예들에서, 덮개 조립체는 일부 차이는 있으나 도 2의 덮개 조립체(200)와 유사할 수 있다. 일 실시예에서, 덮개 조립체는 기판 지지체를 대면하는, 오목하거나 볼록하게 휘어진 곡면을 가질 수 있다. 일 양태에서, 전체 플라즈마 소오스가 휘어질 수 있다(즉, 기판 지지체를 대면하는 플라즈마 소오스의 표면과 기판 지지체로부터 반대측을 향하고 있는 표면이 모두 볼록하거나 오목하다). 다른 양태에서, 기판 지지체를 대면하고 있는 덮개 조립체의 표면만 휘어질 수 있다. 일 실시예에서, 특히, 대면적 덮개 조립체들을 위하여, 다수의 샤워헤드들이 제공될 수 있다. 일 실시예에서, 지지 부재(228)를 통해 하나 또는 그 초과의 도관들을 제공함으로써, 전도성 부재(226)들을 통해 가스가 분사될 수 있다. 다른 실시예들에서, 다수의 개별 회로들 대신, 단일의 전기 회로를 포함하는 전도성 코일들이 제공될 수 있다. 예컨대, 일 실시예에서, 전도성 코일은 상보적인 전도성 부재 내에 배치되거나 내포되어 평면, 원형 또는 직사각형 나선 형상으로 배열될 수 있으며, 이에 의해, 전도성 부재와 전도성 코일은 실질적으로 평면 플라즈마 소오스를 형성하게 된다. 그러한 나선 형상은 플라즈마 소오스가 평면이 되지 않도록 z-변위될 수도 있으나, 오목하거나 볼록하다는 면에서 z-차원을 갖는다.
도 3은 다른 실시예에 따른 덮개 조립체(300)의 분해도이다. 덮개 조립체(300)는 대부분의 면에서 도 2의 덮개 조립체(200)와 유사하며, 동일한 특징부들은 동일한 식별번호로 표시하였다. 덮개 조립체(300)는 당해 덮개 조립체(300)가 설치되는 챔버의 프로세싱 영역으로 가스를 전달하기 위한 도관(206)을 포함한다. 덮개 조립체(300)는 제 1 RF 코일(302)과, 상기 제 1 RF 코일과 유사한 제 2 RF 코일(304)을 더 포함하며, 상기 제 1 RF 코일(302)이 분해된 형태로 도시되어 있다. 상기 제 1 RF 코일(302)은 절연 채널(308) 내에 배치되는 복수의 전도체(306)들을 포함한다. 도 3의 실시예에서, 전도체(306)들은 원형이며 동심이지만, 대안적 실시예들에서, 전도체(306)들은 본 명세서에 개시된 바와 같이 임의의 편리한 구조로 배치될 수 있다. 각각의 전도체(306)들은 당해 전도체(306)에 전력을 공급하기 위한 컨택트(310)를 갖는다. 본 명세서의 다른 곳에 개시된 바와 같이, 전도체(306)는 전력과 아울러 냉각재를 운반하도록 구성된 전도성 튜브들일 수 있다. 따라서, 컨택트(310)들도 전도체(306)들에 대해 냉각재를 제공하기 위해 사용될 수 있다.
일반적으로, 전도체(306)들은 금속 또는 다른 전기적으로 전도성인 물질이다. 금속은 단일의 금속, 합금, 혼합물, 또는 금속들의 다른 조합일 수 있다. 또한, 전도체(306)들은, 일부 실시예들에서, 세라믹 또는 폴리머 같은 비전도성 물질로 코팅될 수도 있다. 일 실시예에서, 전도체(306)들은 은으로 도금된 구리 튜브들이다. 일반적으로, 사용되는 금속들은 특정 실시예에 필요한 전기적 및 열적 특성들에 따라 좌우된다. 고전력 응용예들에서, 일반적으로, 전기 전도도가 높으면 써멀 버짓(thermal budget)이 낮아지는 결과로 이어지므로, 전도성이 더 큰 물질들이 유리할 수 있다. 다수의 RF 코일들이 사용될 때, 각각의 코일들은 서로 다른 조성을 가질 수 있음을 유의하여야 한다. 예컨대, 은 도금된 구리 튜브들은, 튜브들간에 서로 다른 전도도를 제공하도록, 다른 은 도금 두께들 또는 다른 튜브 벽체 두께들을 가질 수 있다. 다른 실시예들에서, 각각의 RF 코일은 오직 1개의 전도체 또는 2개 초과의 전도체들을 가질 수 있다.
전도체(306)가 절연 물질로 둘러싸이도록, 절연체(312)가 전도체(306) 위에 배치된다. 이는 제 1 및 제 2 RF 코일(302, 304)들 사이에 개재된 전도성 링(314, 316)들로 전력이 흐르지 않도록 한다. 절연체(312)는 도 3의 평면 사시도에서는 보이지 않는 벽체를 포함한다. 벽체는 주어진 RF 코일(302 또는 304)에서 전도체(306)들 사이의 전기적 누화를 방지하기 위해 2개의 전도체(306)들 사이로 연장한다. 따라서, 각각의 전도체(306)는 절연 물질로 둘러싸인다. 전도체(306)들에 전력이 제공되면, 전도체(306)들에 의해 자기장이 발생된다. 필드 집중기(318)는 효율을 개선하기 위해 프로세싱 구역의 방향으로 자기장을 포커싱하여 전달하도록 전도체(306)들 주위에 부분적으로 배치된다.
절연체(312)는 각각의 컨택트(310)를 위한 통로(320)를 더 포함한다. 상기 통로(320)들은 필드 집중기(318)의 개구들을 통과하며, 전력에 커플링될 컨택트(310)들의 경로를 제공함과 아울러, 컨택트(310)들과 필드 집중기(318) 사이의 전기적 접촉을 방지한다. 상기 컨택트들은 필드 집중기(318)를 통해 돌출하며, 이들은 RF 소오스에 커플링될 수 있다.
도 2의 실시예에서와 같이, 임의의 갯수의 RF 코일들이 덮개 조리쳅(300)에 배치될 수 있다. 또한, 상기 도관(206)에 부가하여 또는 그 대신에, 프로세싱 구역으로 프로세스 가스들을 방출하기 위해 전도체 링(314, 316)들의 도관들에 개구를 제공함으로써, 전도성 링(314, 316)들을 통해 프로세스 가스들이 제공될 수 있다. 덮개 조립체(300)는 본 명세서에 개시된 실시예들 중 임의의 실시예에 따른 곡률로 형성될 수도 있다.
또한, 본 명세서에 개시된 실시예들은 프로세스 챔버 내의 기판 지지체 상의 기판을 프로세싱하기 위한 방법을 제공한다. 기판을 프로세싱하기 위한 플라즈마를 형성하기 위해 기판 지지체를 대면하는 위치에 플라즈마 소오스가 제공될 수 있다. 상기 방법은 전극 내에 배치된 복수의 전도성 루프들을 가진 플라즈마 소오스를 제공하는 단계, 상기 챔버에 프로세싱 가스를 제공하는 단계, 상기 전극을 접지하는 단계, 및 상기 전도성 루프들에 전력을 인가함으로써 상기 프로세싱 가스로부터 플라즈마를 형성하는 단계를 포함한다. 전극 내에 형성된 채널, 전도성 루프들에 도포된 코팅, 또는 전극에 형성된 채널 내부에 배치된 라이너와 같이, 코팅, 랩핑(wrapping) 또는, 컨테이너일 수 있는 전기적으로 절연성인 물질 내에 상기 루프들을 위치시킴으로써, 전도성 루프들은 전극으로부터 전기적으로 절연될 수 있다. RF 전력이 루프들에 인가되며, 이는 프로세스 챔버 내에서 플라즈마 밀도를 조절(shape)하기 위해 독립적으로 제어될 수 있다. 전도성 루프는, 바람직하다면, 관형 전도성 루프들을 통해 냉각 유체와 같은 열 조절 매체를 순환시킴으로써, 열적으로 제어될 수 있다.
전도성 루프들은 일부 실시예들에서 전극과 실질적으로 동일 평면상에 있을 수 있다. 다른 실시예들에서, 전극은 그 내부에 전도성 루프들이 배치된 비(非)평면일 수 있다. 또 다른 실시예들에서, 전도성 루프들은 전극 내에 부분적으로 배치되고 전극 외부에 부분적으로 배치될 수 있으며, 전극 외부에 배치된 전도성 루프들의 임의의 부분들이 절연 물질 내에 수납되거나 봉입될 수 있다.
프로세싱 챔버의 플라즈마 영역 내부로 필드를 집중시키도록 배치된 필드 집중기를 제공함으로써, 플라즈마가 더 강화될 수 있다. 예컨대, 필드 집중기와 기판 지지체 사이에 전도성 루프들이 있도록, 필드 집중기는 일반적으로 기판 지지체의 반대측에 배치될 수 있다. 이러한 배치는 챔버 외부에서 자기력선들이 발생하지 않도록 하며, 플라즈마 소오스 에너지를 프로세싱 가스에 포커싱한다.
이상의 설명은 본 발명의 실시예들에 관한 것이나, 본 발명의 기본적인 범위를 벗어나지 않고 다른 추가적인 실시예들이 안출될 수 있으며, 그 범위는 하기된 특허청구범위에 의해 결정된다.

Claims (16)

  1. 플라즈마 챔버를 위한 덮개 조립체로서,
    제 1 전도성 링에 네스트된(nested with) 제 1 환형 유도 코일을 포함하는,
    덮개 조립체.
  2. 제 1 항에 있어서,
    상기 제 1 환형 유도 코일은 상기 제 1 전도성 링에 네스트된 절연 채널 내에 배치된,
    덮개 조립체.
  3. 제 2 항에 있어서,
    상기 절연 채널 내부의 상기 제 1 환형 유도 코일 주위에 배치된 필드 집중기(concentrator)를 더 포함하는,
    덮개 조립체.
  4. 제 3 항에 있어서,
    상기 제 1 전도성 링에 네스트된 제 2 절연 채널 내에 배치된 제 2 환형 유도 코일을 더 포함하는,
    덮개 조립체.
  5. 제 4 항에 있어서,
    상기 제 1 및 제 2 환형 유도 코일들은 각각 금속 튜브를 포함하고, 상기 제 1 환형 유도 코일은 상기 전도성 링의 중앙 개구에 네스트되며, 상기 2 환형 유도 코일은 상기 제 1 환형 유도 코일과 동심인(concentric) 상기 전도성 링의 주변 에지 주위에 네스트된,
    덮개 조립체.
  6. 플라즈마 챔버를 위한 덮개 조립체로서,
    가스 분배기;
    상기 가스 분배기 주위에 배치된 지지판;
    상기 가스 분배기 주위에 배치되며 상기 지지판에 커플링된 전도성 링;
    상기 전도성 링에 네스트된 절연 채널 내에 배치된 환형 유도 코일; 및
    상기 유도 코일 주위의 상기 절연 채널 내에 배치된 필드 집중기
    를 포함하는,
    덮개 조립체.
  7. 제 6 항에 있어서,
    상기 절연 채널은 상기 전도성 링의 중앙 개구에 동심으로 배치되고, 상기 지지판을 대면하는 개구를 가지며, 상기 전도성 링의 내측 에지 위에 연장부를 갖는,
    덮개 조립체.
  8. 제 7 항에 있어서,
    상기 지지판은 전도성이며, 상기 전도성 링에 전기적으로 커플링된,
    덮개 조립체.
  9. 제 6 항에 있어서,
    상기 가스 분배기는 상기 지지판의 중앙 구멍, 상기 전도성 링 및 상기 환형 유도 코일을 통해 도관에 커플링되며, 상기 지지판은 상기 전도성 링에 전기적으로 커플링되고, 상기 환형 전도성 코일은 상기 지지판과 상기 전도성 링으로부터 전기적으로 절연되며, 상기 환형 전도성 코일은 상기 전도성 링과 실질적으로 동일 평면상에 놓이는,
    덮개 조립체.
  10. 제 6 항에 있어서,
    상기 절연 채널 내에 배치된 아이솔레이터를 더 포함하며, 상기 아이솔레이터는 상기 환형 유도 코일이 피팅(fit)되는 채널을 가진,
    덮개 조립체.
  11. 제 10 항에 있어서,
    상기 환형 유도 코일은 상기 전도성 링과 실질적으로 동일 평면상에 놓이며, 상기 환형 유도 코일은 열 조절 매체를 위한 도관을 포함하는,
    덮개 조립체.
  12. 반도체 기판을 위한 프로세싱 챔버로서,
    내부 영역을 정의하는 챔버 본체;
    상기 내부 영역에 배치된 기판 지지체; 및
    상기 기판 지지체를 대면하며 상기 내부 영역에 배치된 덮개 조립체
    를 포함하고,
    상기 덮개 조립체는,
    가스 분배기; 및
    상기 기판 지지체를 대면하는 제 1 전도성 표면, 상기 기판 지지체로부터 반대측을 향하고 있는 제 2 전도성 표면, 및 상기 제 1 표면과 제 2 표면 사이의 전도성 플라즈마 소오스 내에 배치된 복수의 전도성 코일들을 가진 플라즈마 소오스
    를 포함하는,
    프로세싱 챔버.
  13. 제 12 항에 있어서,
    상기 전도성 코일들은 각각 상기 전도성 플라즈마 소오스에 형성된 도관 내에 배치되며, 상기 도관은 절연 물질로 라이닝된(lined),
    프로세싱 챔버.
  14. 제 13 항에 있어서,
    상기 도관 내부에 자기장 집중기가 배치된,
    프로세싱 챔버.
  15. 제 14 항에 있어서,
    각각의 전도성 코일이 열 조절 매체를 위한 내부 경로를 구비하여 형성된,
    프로세싱 챔버.
  16. 제 15 항에 있어서,
    각각의 전도성 코일이 절연체들에 의해 분리된 복수의 전도성 루프들을 포함하는,
    프로세싱 챔버.
KR1020127032671A 2010-05-14 2011-04-25 B-필드 집중기를 사용하는 금속성 샤워헤드를 구비한 유도 플라즈마 소오스 KR101826843B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/780,531 2010-05-14
US12/780,531 US20110278260A1 (en) 2010-05-14 2010-05-14 Inductive plasma source with metallic shower head using b-field concentrator
PCT/US2011/033735 WO2011142957A2 (en) 2010-05-14 2011-04-25 Inductive plasma source with metallic shower head using b-field concentrator

Publications (2)

Publication Number Publication Date
KR20130079435A true KR20130079435A (ko) 2013-07-10
KR101826843B1 KR101826843B1 (ko) 2018-02-07

Family

ID=44910845

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127032671A KR101826843B1 (ko) 2010-05-14 2011-04-25 B-필드 집중기를 사용하는 금속성 샤워헤드를 구비한 유도 플라즈마 소오스

Country Status (6)

Country Link
US (3) US20110278260A1 (ko)
JP (2) JP2013533575A (ko)
KR (1) KR101826843B1 (ko)
CN (1) CN102893705B (ko)
TW (1) TWI520169B (ko)
WO (1) WO2011142957A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210092322A (ko) * 2018-12-14 2021-07-23 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 증기 증착을 위한 막 응력 제어

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
JP5745812B2 (ja) * 2010-10-27 2015-07-08 東京エレクトロン株式会社 プラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
TWI596644B (zh) * 2012-03-22 2017-08-21 藍姆研究公司 流體分配元件組件及電漿處理設備
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
TW201405627A (zh) * 2012-07-20 2014-02-01 Applied Materials Inc 具有同軸rf饋送及同軸遮罩之對稱的感應性耦合電漿源
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10249479B2 (en) * 2015-01-30 2019-04-02 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of ICP plasmas
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9953888B1 (en) * 2016-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Electromagnetic detection device and semiconductor manufacturing system
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10629415B2 (en) 2017-03-28 2020-04-21 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrate
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN108882494B (zh) * 2017-05-08 2022-06-17 北京北方华创微电子装备有限公司 等离子体装置
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11177067B2 (en) * 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7221115B2 (ja) * 2019-04-03 2023-02-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6909824B2 (ja) * 2019-05-17 2021-07-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11499231B2 (en) * 2020-04-09 2022-11-15 Applied Materials, Inc. Lid stack for high frequency processing
WO2022093273A1 (en) * 2020-10-30 2022-05-05 Applied Materials, Inc. Rf delivery and feedthrough assembly to a processing chamber

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
KR920003424A (ko) * 1990-07-13 1992-02-29 미다 가쓰시게 표면처리 장치, 표면처리방법 및 반도체장치의 제조방법
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
EP0537950B1 (en) 1991-10-17 1997-04-02 Applied Materials, Inc. Plasma reactor
JPH05136094A (ja) 1991-11-11 1993-06-01 Ramuko Kk プラズマリアクター
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
JP3399467B2 (ja) * 1993-08-19 2003-04-21 東京エレクトロン株式会社 プラズマ処理装置及びクリーニング方法
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH08296037A (ja) * 1995-04-24 1996-11-12 Sony Corp 蒸着装置
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JP3153768B2 (ja) * 1995-08-17 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
EP0838839B1 (en) * 1996-09-27 2008-05-21 Surface Technology Systems Plc Plasma processing apparatus
US6534922B2 (en) * 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
JP4405496B2 (ja) * 1997-02-24 2010-01-27 株式会社エフオーアイ プラズマ処理装置
US6334983B1 (en) * 1997-04-11 2002-01-01 Tokyo Electron Limited Processing system
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
GB9714341D0 (en) * 1997-07-09 1997-09-10 Surface Tech Sys Ltd Plasma processing apparatus
US6076482A (en) 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6197165B1 (en) 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
JP4046207B2 (ja) * 1998-08-06 2008-02-13 株式会社エフオーアイ プラズマ処理装置
WO2000017906A2 (en) * 1998-09-22 2000-03-30 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000315598A (ja) * 1999-03-03 2000-11-14 Anelva Corp プラズマ処理装置
TW460610B (en) * 1999-03-03 2001-10-21 Anelva Corp A plasma processing system
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
AT502984B8 (de) * 2003-09-15 2008-10-15 Qasar Technologieentwicklung Gmbh Verfahren und einrichtung zur erzeugung von alfven-wellen
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
JP4528799B2 (ja) * 2006-07-31 2010-08-18 株式会社リガク 全反射蛍光x線分析装置
WO2008024392A2 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
JP4906448B2 (ja) * 2006-09-11 2012-03-28 新明和工業株式会社 プラズマガンの中間電極ユニット及びそれを備えるプラズマガン
KR101281188B1 (ko) 2007-01-25 2013-07-02 최대규 유도 결합 플라즈마 반응기
JP4950763B2 (ja) * 2007-05-25 2012-06-13 大陽日酸株式会社 プラズマ生成装置
US7976674B2 (en) 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
KR101358780B1 (ko) * 2007-07-20 2014-02-04 최대규 히터가 설치된 유도 결합 플라즈마 소스를 구비한 플라즈마반응기
JP5139029B2 (ja) * 2007-10-24 2013-02-06 ラム リサーチ コーポレーション プラズマ処理装置
KR100953828B1 (ko) * 2008-01-15 2010-04-20 주식회사 테스 플라즈마 처리장치
KR20090009369U (ko) 2008-03-14 2009-09-17 킴스핸들 주식회사 걸이구가 구비된 조리용기 손잡이
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210092322A (ko) * 2018-12-14 2021-07-23 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 증기 증착을 위한 막 응력 제어
US11854771B2 (en) 2018-12-14 2023-12-26 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
JP2013533575A (ja) 2013-08-22
US20170194128A1 (en) 2017-07-06
TWI520169B (zh) 2016-02-01
KR101826843B1 (ko) 2018-02-07
WO2011142957A3 (en) 2012-02-23
CN102893705B (zh) 2017-05-03
CN102893705A (zh) 2013-01-23
WO2011142957A2 (en) 2011-11-17
US10529541B2 (en) 2020-01-07
US11450509B2 (en) 2022-09-20
JP2016122654A (ja) 2016-07-07
US20110278260A1 (en) 2011-11-17
US20200144027A1 (en) 2020-05-07
TW201145350A (en) 2011-12-16

Similar Documents

Publication Publication Date Title
US11450509B2 (en) Inductive plasma source with metallic shower head using b-field concentrator
JP4216243B2 (ja) ヘリカル共振器型のプラズマ処理装置
CN103578906B (zh) 用于处理基板的装置
US20170236693A1 (en) Rotatable substrate support having radio frequency applicator
CN107004561B (zh) 具有直接出口环状等离子体源的等离子体处理系统
CN107004562B (zh) 直接出口环状等离子体源
US5082542A (en) Distributed-array magnetron-plasma processing module and method
KR100797206B1 (ko) 대영역 플라즈마 소스에서의 균일하게 가스를 분배하기위한 장치 및 그 방법
US20080173237A1 (en) Plasma Immersion Chamber
JP2007317661A (ja) プラズマ反応器
KR20130139982A (ko) 자기장을 형성하기 위한 장치 및 그 이용 방법들
CN111095476B (zh) 用于等离子体处理设备的冷却聚焦环
KR20190048114A (ko) 지지 유닛 및 그를 포함하는 기판 처리 장치
KR101232198B1 (ko) 플라스마 발생 유닛 및 이를 포함하는 기판 처리 장치 및 방법
KR102125028B1 (ko) 마그네틱 코어 냉각용 냉각키트 및 이를 구비한 플라즈마 반응기
KR102384274B1 (ko) 냉각구조를 개선한 플라즈마 반응기
KR102467297B1 (ko) 마그네틱 코어 방열패드
KR101281191B1 (ko) 유도 결합 플라즈마 반응기

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant