CN102460681A - 稳定栅极介电层前藉由扩散栅极介电覆盖层调整复杂晶体管的阈值电压 - Google Patents

稳定栅极介电层前藉由扩散栅极介电覆盖层调整复杂晶体管的阈值电压 Download PDF

Info

Publication number
CN102460681A
CN102460681A CN2010800264372A CN201080026437A CN102460681A CN 102460681 A CN102460681 A CN 102460681A CN 2010800264372 A CN2010800264372 A CN 2010800264372A CN 201080026437 A CN201080026437 A CN 201080026437A CN 102460681 A CN102460681 A CN 102460681A
Authority
CN
China
Prior art keywords
dielectric material
grid
electrode structure
metal
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800264372A
Other languages
English (en)
Other versions
CN102460681B (zh
Inventor
R·卡特
M·特伦切
S·贝耶尔
R·波尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tesla Advanced Technology Co
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN102460681A publication Critical patent/CN102460681A/zh
Application granted granted Critical
Publication of CN102460681B publication Critical patent/CN102460681B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane

Abstract

为形成复杂栅极电极结构,可提供包括所欲的成分种类的覆盖层(121),在执行处理以稳定敏感栅极介电材料(110)之前,该所欲的成分种类可扩散进入该栅极介电材料(110)。以此方式,相较传统技术,针对阈值调整成分种类,可基于降低的温度及剂量形成复杂高k栅极电极结构。而且,可针对两种类型的晶体管沉积单一含金属电极材料。

Description

稳定栅极介电层前藉由扩散栅极介电覆盖层调整复杂晶体管的阈值电压
技术领域
本发明涉及包括先进晶体管组件的复杂集成电路的制造,该先进晶体管组件包括复杂栅极电极结构以及含金属电极材料,该复杂栅极电极结构包括复杂栅极介电层,例如高k栅极介电层。
背景技术
制造例如中央处理单元(CPU)、储存装置、专用集成电路(application specific ihtegrated circuit;ASIC)等先进集成电路必须依据特定的电路布局在特定的芯片面积上形成大量电路组件。在各种电子电路中,场效应晶体管代表一种重要的电路组件类型,其基本确定集成电路的性能。一般来说,当前采用多种制程技术形成场效应晶体管,其中,对于多种类型的复杂电路系统,MOS(金属氧化半导体)技术因其在操作速度和/或功耗和/或成本效益方面的优越特性而成为当前最有前景的其中一种技术。在使用例如MOS技术制造复杂集成电路的期间,数百万的晶体管,例如N沟道晶体管和/或P沟道晶体管,形成于包括结晶半导体层的衬底上。场效应晶体管,不论是N沟道晶体管还是P沟道晶体管,通常包括PN结(PN junction),其由高掺杂区域与轻掺杂或非掺杂区之间的接口形成,该高掺杂区域亦即源极区和漏极区,该轻掺杂或非掺杂区(例如沟道区)设于该高掺杂区域之间。在场效应晶体管中,沟道区的电导率,亦即导电沟道的电流驱动能力,是由邻近该沟道区并藉由薄绝缘层与该沟道区隔离的栅极电极控制。在该栅极电极施加合适的控制电压而形成导电沟道时,该沟道区的电导率取决于掺杂浓度、电荷载体迁移率以及(对于该沟道区沿晶体管宽度方向的特定延伸来说)源极区和漏极区之间的距离,亦即沟道长度。因此,结合施加控制电压于该栅极电极而在绝缘层下方快速形成导电沟道的能力,该沟道区的电导率大大影响MOS晶体管的性能。因此,由于沟道形成速度(依赖于栅极电极的电导率)以及沟道电阻率基本确定晶体管性能,因此沟道长度的缩小以及与其关联的沟道电阻率的降低是实现集成电路的操作速度增加的主要设计标准,其中因尺寸缩小而相应导致栅极电阻率增加。
目前,由于硅的取得基本不受限制、硅及相关材料的已知特性及制程以及过去50年期间所积累的经验,因此大量集成电路基于硅制造。因此,硅将有可能继续作为下一代电路批量生产技术的材料选择。在半导体装置制造中,硅作为主要角色的一个原因是硅/二氧化硅接口的优越特性,其使不同的区域之间能够可靠地电性隔离。该硅/二氧化硅接口在高温下稳定,因此允许执行后续的高温制程,例如高温制程为退火周期所需以激活掺杂物并消除结晶损伤,而不牺牲该接口的电性特性。
由于上述原因,在场效应晶体管中,较佳地使用二氧化硅作为栅极绝缘层的基材,以隔离通常由多晶硅及含金属材料构成的栅极电极与硅沟道区。在稳步提升场效应晶体管的装置性能的过程中,沟道区的长度不断缩小,以提升切换切换速度及驱动电流能力。由于晶体管性能由施加于栅极电极的电压控制以反转沟道区的表面至足够高的电荷密度,从而针对特定的供应电压提供所欲的的驱动电流,因此必须使栅极电极、沟道区及设于二者之间的二氧化硅保持一定程度的电容耦合。结果是,降低沟道长度需要增加电容耦合,以避免晶体管操作期间发生所谓的短沟道行为。该短沟道行为可导致漏电流增加并导致阈值电压显着依赖于沟道长度。具有较低供应电压及降低阈值电压的尺寸大幅缩小的晶体管装置可遭受呈指数增加的漏电流,同时也要求增强栅极电极与沟道区之间的电容耦合。因此,必须相应降低二氧化硅层的厚度,以提供栅极与沟道区之间所需的高电容。例如,约80纳米的沟道长度需要厚度约1.2纳米由二氧化硅构成的栅极介电层。尽管具有极短沟道的高速晶体管组件的使用限于高速信号路径,而具有较长沟道的晶体管组件用于不太关键的电路部分(例如储存晶体管组件),即使仅速度关键路径中的晶体管基于极薄的栅极氧化物形成,但对于1至2纳米范围内的氧化物厚度来说,由电荷载体直接隧穿极薄二氧化硅栅极绝缘层所引起的较高漏电流值可能不符合性能驱动电路的要求。
因此,业界已提出各种措施以增加介电强度及二氧化硅材料的有效介电常数,例如执行基于氮的处理以纳入一定量的氮。尽管基本氧化物材料藉由这样的处理可得到显着改善,但晶体管尺寸的进一步缩小可能需要更加复杂的技术。为此,业界已考虑替代作为栅极绝缘层材料的二氧化硅,尤其对于极薄的二氧化硅基栅极层。可能的替代材料包括具有显着较高介电常数的材料,其相应形成的栅极绝缘层的物理较大厚度提供可藉由极薄的二氧化硅基层获得的电容耦合。因此,业界建议将传统二氧化硅材料的至少一部分替换为高介电常数材料,例如k值约为25的氧化钽(Ta2O5)、k值约为150的氧化钛锶(SrTiO3)、氧化铪(HfO2)、氧化硅铪(HfSiO)、氧化锆(ZrO2)等。
另外,由于多晶硅在与栅极介电层的接口附近可能遭受载体耗尽,从而降低沟道区与栅极电极之间的有效电容,因此针对栅极电极,以适当的导电材料替代通常使用的多晶硅材料可增加晶体管性能。因此,业界已提出一种栅极堆迭,其中,高k介电材料基于与二氧化硅基层相同或较大的厚度提供增加的电容,同时保持漏电流在可接受的程度。另一方面,可形成非多晶硅材料(例如氮化钛等)以连接该高k介电材料,从而实质上避免出现耗尽区。
不过,在形成包括高k介电材料的复杂栅极结构后,必要的高温处理及其它制程可能显着影响该高k材料。
基于该原因,可对许多高k介电材料执行后沉积处理(post-deposition treatment),以在进一步处理期间达到具有优越稳定性的材料特性。例如,通常必须封装该高k介电材料,以降低与含金属电极材料以及对该装置进行进一步处理期间所遇到的其它制程环境问题。而且,可能必须增加该高k介电材料的结晶温度,以在完成基本晶体管组态通常所需的后续高温制程期间提供优越的稳定性。其它材料变化可能牵涉到该高k介电材料的相分离(phase separation),且可能必须阻止植入的成分种类的扩散,其可在进一步处理期间纳入该栅极电极结构的上半部分中。而且,高k介电材料的功函数的变化以及介电常数的降低可能与层厚度增加有关,且可导致晶体管的阈值电压的显着变化,其被认为是由栅极堆迭材料与氧的基本交互引起。例如,氧化铪与氧化锆在有氧及高温的情况下可呈极高的氧化速率,从而导致材料特性的显着变化,进而导致晶体管特性的显着变化。因此,难以基于早期制造阶段中提供的高k介电材料准确调整晶体管的阈值电压。在一些传统方法中,可在沉积后立即处理该高k介电材料,例如采用氮化形式等,以增强对该敏感栅极介电材料的进一步处理,从而在一定程度上稳定材料特性。另一方面,可能必须基于特定的功函数金属结合该高k介电材料专门调整晶体管的阈值电压。在一些传统方法中,可透过将特定的金属成分种类纳入该高k介电材料中,从而获得所欲的的功函数并结合形成于该高k介电材料上的含金属材料而实现阈值电压的调整。将所欲的金属成分种类纳入该高k介电材料中的有效技术是提供包括所欲的扩散成分种类的覆盖层,以及执行热处理以启动该金属成分种类向该高k介电材料中的扩散。针对N沟道晶体管及P沟道晶体管来说,将所欲的金属成分种类纳入通常可在任意适当的制造阶段执行,例如在完成基本晶体管组态之后的较迟阶段或在早期制造阶段中执行,亦即在提供稳定的高k介电材料并在其上形成覆盖层后,其可包含所考虑的晶体管的所欲的金属成分种类。不过,由于该高k介电材料的优越稳定性,因此使得所欲的金属成分种类需要较高的制程温度及浓度,如此可能可负面影响晶体管特性,从而降低最终获得的晶体管总体性能。
本发明针对可避免或至少减轻上述一个或多个问题的影响的各种方法及装置。
发明内容
以下提供本发明的简要总结,以提供对于本发明的其中一些态样的基本理解。本发明内容并非详尽概述本发明。其并非意图识别本发明的关键或重要组件或划定本发明的范围。其唯一目的在于提供一些简化的概念,作为后面所讨论的更详细说明的前序。
一般来说,本发明提供技术及半导体装置,其中,可基于例如氮化、氧化等适当的处理来增强高k介电材料的完整性及稳定性,其中,在稳定该材料特性之前,可将所欲的的阈值调整成分种类纳入该高k介电材料中。为此,可在执行该稳定处理之前形成该高k介电材料以及适当的一层或多层覆盖层,以使扩散制程达到优越的性能,其中,在将该阈值调整成分种类纳入该高k介电材料中后,该后续的稳定制程可提供最终阈值电压的优越完整性及稳定性。因此,将该所欲的阈值调整成分种类纳入该高k介电材料中纳入后,可在该高k介电材料上沉积任意适当的电极材料,其可在早期制造阶段中实施或在完成基本晶体管组态后实施。
这里所揭露的一种方法包括在半导体装置的半导体区域上方形成栅极介电材料,其中,该栅极介电材料包括高k介电材料。该方法还包括在该栅极介电材料上方形成含金属材料,其中,该含金属材料包括阈值电压调整成分种类。而且,执行热处理以使部分该阈值调整成分种类扩散至该栅极介电材料中。该方法还包括自该栅极介电材料上方移除该含金属材料以及执行处理以稳定该栅极介电材料。另外,在该栅极介电材料上形成含金属电极材料。另外,该方法包括基于该含金属电极材料及该栅极介电材料形成晶体管的栅极电极结构。
这里所揭露的另一种方法包括在第一有源区及第二有源区上方形成栅极介电材料。另外,在该第一有源区上方选择性形成第一含金属材料。该方法还包括在该第二有源区上方形成第二含金属材料。而且,该方法包括执行热处理以启动该第一含金属材料的第一成分种类在该第一有源区上方的栅极介电材料中的扩散以及启动该第二含金属材料的第二成分种类在该第二有源区上方的栅极介电材料中的扩散。另外,该方法包括移除该第一及第二含金属材料,以及执行处理以稳定该栅极介电材料。
这里所揭露的一种半导体装置包括第一有源区以及形成于该第一有源区上的第一栅极电极结构。该第一栅极电极结构包括介电基材以及形成于该介电基材上的高k介电材料,其中,该高k介电材料在该第一栅极电极结构中包括第一阈值调整金属成分种类。该半导体装置还包括第二有源区以及形成于该第二有源区上的第二栅极电极结构。该第二栅极电极结构包括该介电基材以及形成于该介电基材上的该高k介电材料,其中,该高k介电材料在该第二栅极电极结构中包括第二阈值调整金属成分种类。另外,该半导体装置包括含金属电极材料,其形成于该第一及第二栅极电极结构中的该高k介电材料上。
附图说明
结合附图参照以下的说明可理解本发明,该些附图中类似的附图标记代表类似的组件。
图1a至1g依据本发明的说明实施例示意地描绘半导体装置在基于高k介电材料及金属电极材料形成复杂栅极电极结构的各制造阶段期间的剖视图,其中,可在稳定该高k介电材料的材料特性之前将阈值调整成分种类纳入该高k介电材料中。
图2a至2j依据本发明的其它说明实施例示意地描绘半导体装置在形成不同晶体管类型的栅极电极结构的各制造阶段期间的剖视图,其中,可在稳定高k介电材料之前纳入阈值调整成分种类。
尽管这里揭露的发明内容容许各种修改及替代形式,但附图中以示例形式显示其特定的实施例,并在此进行详细描述。不过,应当理解,这里对特定实施例的说明并非意图将本发明限于所揭露的特定形式,相反,是意图涵盖落入由所附权利要求定义的精神及范围内的所有修改、等同及替代。
具体实施方式
以下描述本发明的各实施例。为了清楚起见,并非实际实施中的全部特征都描述于本说明书中。当然,应当了解,在任意此类实际实施例的开发中,必须作大量的特定实施决定以满足开发者的特定目标,例如符合与系统相关及与商业相关的约束条件,该些约束条件因不同实施而异。而且,应当了解,此类开发努力可能复杂而耗时,但其仍然是本领域技术人员借助本说明书所执行的常规程序。
下面参照附图描述本发明内容。附图中示意各种结构、系统及装置是为了说明起见以及避免模糊本发明与本领域技术人员已知的细节。但是,本发明包括该些附图以描述并解释实施例。这里所用的词语和词组的意思应当解释为与相关领域技术人员对该些词语及词组的理解一致。这里的术语或词组的连贯使用并不意图暗含特别的定义,亦即并不意图暗含与本领域技术人员所理解的通常惯用意思不同的定义。若术语或词组意图具有特定意义,亦即不同于本领域技术人员所理解的意思,则此类特别定义会以直接明确地提供该术语或词组的特定定义的定义方式明确表示于说明书中。
本发明提供半导体装置及技术,其中,在执行处理以稳定栅极介电层的材料特性之前,可处理敏感栅极介电材料以接收适当的成分种类,从而调整总体的晶体管特性,例如阈值电压等。如前所述,这里所揭露的原理可方便应用于敏感高k介电材料,其暴露于进一步处理半导体装置的期间所施加的特定环境条件或制程条件时趋向于改变材料特性。依据本发明所揭露的原理,在沉积该敏感介电材料之后以及进行任意稳定处理之前,可调整所欲的的晶体管特性,例如阈值电压,以增强纳入所欲的成分种类(例如金属成分种类)的效率。因此,可藉由基于要求不高的制程条件(亦即基于降低的制程温度及浓度)的扩散而纳入该所欲的成分种类,以使其它装置特性不太受该阈值调整的影响。随后藉由任意适当的变化例如氮化等保持材料特性,以此为基础,接着执行为两成分种类型的晶体管提供单一栅极金属的还制程,以增加总体制程的效率。
在一些说明实施例中,基于在稳定之前将成分种类纳入该敏感介电材料中而调整晶体管特性,还可应用于复杂的“传统”栅极介电材料(例如基于二氧化硅的材料)结合适当的栅极电极材料,例如多晶硅、硅/锗等。因此,除非这里所述的实施例或所附权利要求中专门规定,否则不应当认为介电栅极材料限定于高k介电材料。
图1a示意地描绘半导体装置100的剖视图,该半导体装置100包括衬底101,在该衬底101上方可形成半导体层102。衬底101可代表任意适当的载体材料(用以在其上方形成半导体层102)且可包括结晶衬底材料、绝缘材料等。例如,绝缘埋层(buried insulating layer)可形成于实质上结晶的衬底材料上,接着形成半导体层102,从而形成绝缘体上硅(silicon-on-insulator;SOI)组态。半导体材料102可代表任意适当的材料,例如硅材料,可结合其它组分,例如锗、碳等,以获得所欲的的晶体管特性。在图1a所示的制造阶段,所示的部分半导体层102可代表晶体管的有源区,且为了定义基本的晶体管特性例如其导电类型,可因此包括特定的基本掺杂水平(doping level)。在这点上,将有源区理解为半导体层102的一部分,其可由适当的隔离结构(未图示)横向划定,且为了在其中形成一个或多个PN结,可包括或接受适当的掺杂物分布(dopant profile)。
而且,半导体装置100可包括形成于半导体层102上的栅极介电材料110。在一些说明实施例中,栅极介电材料110可包括高k介电材料112,例如氧化铪、氧化锆、氧化硅铪等,取决于总体制程及装置要求。当因例如考虑热稳定性等而认为高k介电材料112与半导体层102的直接接触并不适当时,可提供介电基层111,以作为高k介电材料112与半导体层102之间的接口。例如,材料111可代表“传统的”基于二氧化硅的材料,其具有所欲的较低厚度(例如约1纳米及更小厚度)。另一方面,可选择栅极介电材料110的组合厚度及相对介电常数以对应所欲的的晶体管特性,可能的话,可结合后期制造阶段中介电材料110的特性的特定变化。如前面所说明,可就一个或多个上述影响适当地变化介电材料110的材料特性,以在后期制造阶段中提供稳定的材料特性,其中,还可纳入适当成分种类(例如氮、氧等)以进行额外的调整,例如总体介电常数。
而且,在所示制造阶段中,覆盖层120可形成于介电材料110上并可包括任意适当成分种类,为了例如针对必须基于栅极介电材料110形成的晶体管的阈值电压而调整该介电材料的特性,可将该任意适当成分种类纳入介电材料110中。另外,在进一步处理期间,覆盖层120亦可提供栅极介电材料110的优越完整性。在一个说明实施例中,覆盖层120可包括第一层121,该第一层121可包括所欲的扩散成分种类(例如金属),可将该所欲的扩散成分种类纳入介电材料110中以获得所欲的的功函数并因此实现仍欲形成于半导体层102之中及上方的晶体管的阈值电压调整。例如,层121可包括具有铝、钽等形式的扩散成分种类,该扩散成分种类可代表用以分别调整P沟道晶体管及N沟道晶体管的阈值的适当候选物。例如,当基于栅极介电材料110形成N沟道晶体管时,层121可由厚度为数埃的镧层构成。而且,为了在进一步处理期间有效提供优越的完整性,可提供具有例如氮化钛等形式的第二层122。应当了解,如认为适于后续处理的话,则覆盖层120可包括额外的层,例如直接形成于高k介电材料112上的额外氮化钛层。
可基于下列制程形成如图1a所示的半导体装置100。在形成相应的隔离结构(未图示)并向层102内引入掺杂成分种类以调整基本的晶体管特性后,可例如基于复杂沉积技术并可能结合氧化制程等形成栅极介电材料110。例如,当欲提供二氧化硅材料时,可基于热氧化、湿化学氧化等形成层111(如提供该层的话)。随后,可基于成熟的沉积技术,例如化学气相沉积(chemical vapor deposition;CVD)等,沉积高k介电材料112。例如,在复杂应用中,当例如使用氧化铪作为高k介电材料时,该高k介电材料的沉积厚度为10至20埃。随后,可基于任意成熟的沉积配方,例如基于化学气相沉积等形成氮化钛,从而形成覆盖层120。类似地,可利用化学气相沉积等沉积技术沉积含扩散成分种类层,例如层121。
图1b示意地描绘在进一步先进制造阶段中的半导体装置100,其中,装置100可暴露于其它沉积环境104中,以在覆盖层120上形成保护层103。保护层103可由任意适当的材料组成(例如硅材料等),可在后期制造阶段中有效地移除,而不会不当牺牲栅极介电材料110的完整性。当认为在进一步处理期间使覆盖层120暴露于制程环境并不合适时,保护层103可增加覆盖层120的完整性。例如,氮化钛暴露于高温环境时具有显着的氧化速率。在其它情况下,例如在进一步处理装置100期间所使用的复杂退火技术中,保护层103可充当有效的热分布层。应当了解,有多种成熟的制程配方可在沉积制程104期间使用。例如,层103的厚度可选择在10至30纳米范围内,如认为合适的话,还可选择其它值。
图1c示意地描绘在退火制程105期间暴露于高温的半导体装置100,在该退火制程期间,所欲的扩散成分种类开始自覆盖层120向介电材料110中扩散。在退火制程105期间,可适当选择有效的制程温度及持续时间以获得所欲的浓度的扩散成分种类,亦即介电材料110内的阈值调整成分种类,不过,其中,如前所述,介电材料110较不显着的“稳定性”可在制程105期间提供优越的制程条件。因此,在约900℃及更高的温度下,可开始有效的扩散,其中,可选择持续时间及温度以在栅极介电材料110内的所欲的深度适当定位所考虑的成分种类,例如镧、铝等。因此,如前所述可包括于层121或122中的成分种类121A可以所欲的浓度向介电材料110内扩散。在一些说明实施例中,若认为使一定数量的该成分种类扩散至基层111内有助于调整介电材料110的总体特性的话,还可控制制程105以使一定数量的成分种类扩散至基层111内。可基于实验轻易地确定退火制程105的适当制程参数,其中,针对介电材料110可获得所欲的成分种类的扩散速率。退火制程105可基于任意适当的退火技术执行,例如快速热退火制程、基于激光的退火制程、基于闪光的退火制程等。在一些说明实施例中,退火制程105可以基于激光的系统为基础执行,其中,可以局部分解方式向装置100提供辐射,从而有可能以局部不同的方式调整晶体管的阈值电压。例如,在一些装置区,可能需要较高的阈值电压,其可藉由适当调整制程105期间的有效暴露时间及温度实现,从而相应改变成分种类121A向介电材料110中的扩散。因此,藉由以局部不同的方式调整介电材料110中成分种类121A的量和/或渗透深度,可获得具不同“特色”的晶体管。为此目的,可使用基于激光的成熟退火系统结合相应的位置信息,从而例如藉由调整该相应退火系统的扫描运动而适当调整退火参数。如前所述,在退火制程105期间,保护层103(若有提供的话)可确保覆盖层120的完整性,并且如有需要的话,例如,若覆盖层120具有增加的反射率,则可在吸收辐射能量方面增强退火制程105的性能。
图1d示意地描绘在进一步先进制造阶段中的半导体装置100。如图所示,该装置100可暴露于一个或多个反应制程106,在该制程期间可移除保护层103及覆盖层120(图1c)。例如,为了对该覆盖层选择性移除硅材料,蚀刻序列106可包括用以移除保护层103的任意适当的蚀刻化学,例如基于氢氧化氨及类似物、四甲基氢氧化氨及类似物。在其它情况下,可使用任意其它适当的蚀刻配方,取决于图1c中所示的保护层103的材料组成。随后,可移除覆盖层120(图1c),例如基于使用氨-过氧化氢混合物(ammonium peroxide mixture;APM)的湿化学蚀刻配方,可有效移除例如氮化钛等材料以及扩散层121(图1c),同时停止于介电材料110,例如多个高k介电材料相对多个蚀刻配方显现出增强的蚀刻停止功能。因此,在一些说明实施例中,在蚀刻序列106期间,可暴露栅极介电材料110并准备进一步处理,以提供栅极介电材料110的优越稳定性。应当了解,由于前面的退火制程105(图1c),介电材料110中可包含一定量的成分种类121A。例如,扩散成分种类121A可形成于层112中,而其它实施例中,成分种类121A还可延伸至基层111中。
图1e示意地描绘在进一步处理107期间的半导体装置100,该处理可基于任意适当的制程技术执行,如前所说明,用以针对进一步的高温制程、暴露于其它制程条件下等增加栅极介电材料110的稳定性。尤其,制程107可就其它成分种类的互扩散增加栅极介电材料110的稳定性,以稳定并实质上保持成分种类121A在介电材料110内的分布,从而降低最终获得的阈值电压的变化程度。在一些说明实施例中,为了将氮(可能结合氧)纳入栅极介电材料110的至少上半部分中,处理107可包括氮化制程,并可能结合氧化步骤。为此目的,可使用任意适当的制程技术,例如在含氮大气等环境中执行基于等离子(plasma-based)的处理。类似地,如认为适当的话,则可进行氧化,其中,可使用基于等离子的氧化配方,以避免不当的高温,否则,该不当的高温可导致在处理107的初始阶段中,成分种类121A发生显着扩散。在一些说明实施例中,可选择处理107的制程参数,以便在基层111(如提供的话)中纳入所欲的成分种类(例如氮),从而增强其特性,例如最终介电常数、扩散阻挡行为等。因此,在处理107期间,可调整层110的特性,并且如前所述,由于介电材料110在进一步处理期间具有显着增强的稳定性,因此层110的特性同时得以“保存”。
图1f示意地描绘在进一步沉积制程108期间的半导体装置100,在该制程期间,可在栅极介电材料110上提供任意适当的含金属电极材料113。例如,含金属电极材料113可由厚度约为1至10纳米的氮化钛所构成,不过,其中,还可选择其它任意值,取决于总体制程策略。沉积制程108可基于任意的成熟制程配方执行。
图1g示意地描绘在进一步先进制造阶段中的半导体装置100。如图所示,栅极电极结构115可形成于半导体层102上方并可包括栅极介电材料110,栅极介电材料110具有优越的稳定性及适当设置的特性,例如前述的阈值电压。而且,含金属电极材料113可形成于介电材料110上,接着形成其它电极材料或持位材料(placeholdermaterial)114(例如硅、硅/锗等形式)。应当了解,如需要进一步处理半导体装置100的话,材料114还可包括额外的材料,例如覆盖层。而且,可在半导体层102及栅极电极结构115上形成例如由氮化硅构成的保护衬里(protection liner)109,以在后续制程期间提供栅极电极结构115的优越完整性。例如,在后续制造阶段中,保护衬里109可暴露于非等向性蚀刻环境,以形成栅极电极结构115的相应侧间隙壁组件,从而在进一步处理期间保持该栅极电极结构的侧壁的完整性。
如图1g所示的半导体装置100可基于成熟的制程技术形成,其中,可在电极材料113上沉积材料114,并可能结合额外的覆盖材料等。接着,为了形成栅极电极结构115,可执行复杂的图案化制程序列(包括复杂的光刻及蚀刻技术)。接着沉积材料109,该沉积制程可基于热活化式化学气相沉积技术等实现。因此,栅极电极结构115可设有处于稳定状态的栅极介电材料110,同时针对欲基于栅极电极结构115形成的晶体管,可基于介电材料110及电极材料113调整阈值电压。
请参照图2a至2j,现在描述其它说明实施例,其中,针对不同类型的晶体管,可在稳定敏感介电材料之前调整晶体管特性(例如阈值电压)。
图2a示意地描绘半导体装置200的剖视图,该半导体装置200可包括衬底201,在衬底201上方形成半导体层202。衬底201及半导体层202可适用如前参照装置100所述的相同标准。而且,隔离结构202C可隔离第一有源区202A与第二有源区202B。例如,第一有源区202A可代表N掺杂阱区,并在进一步处理期间在其中及其上方形成P沟道晶体管。类似地,有源区202B可代表N沟道晶体管的有源区。不过,应当了解,下列制程序列还可应用于有源区202A代表N沟道晶体管而有源区202B代表P沟道晶体管的组态。而且,在所示制造阶段中,可在第一及第二有源区202A、202B上方形成栅极介电材料210,接着形成覆盖层220。在所示的实施例中,介电材料210可包括介电基层211,例如基于二氧化硅的材料等以及高k介电材料层212。不过,应当了解,如认为适当的话,则介电材料210可为单一材料层或包括三层或更多子层。类似地,覆盖层220可包括任意适当的结构以提供材料221,材料221可包括适当选择的扩散成分种类,以调整将要形成于有源区202A中及上方的晶体管的阈值电压。例如,覆盖层220可包括材料221以及第一及第二覆盖层222、223,该第一及第二覆盖层222、223是例如由氮化钛等组成且可封闭材料层221。例如,层221可由镧或铝组成,取决于晶体管的类型,基于材料层221可调整该晶体管的阈值电压。在所示实施例中,层221可代表厚度为0.5至1.5纳米的铝层,而层222、223的厚度为1至3纳米。而且,在一说明实施例中,为了提供所欲的的带隙偏移(band gap offset),有源区202A可包括适当选择的半导体材料202D(例如以硅/锗合金的形式),从而结合江成分种类相应纳入层221中而使P沟道晶体管获得适当的阈值电压。
如图2a所示的半导体装置200可基于下列制程形成。在形成隔离结构202C后,可基于成熟的掩模体系(masking regime)结合植入制程(implantation process)形成有源区202A、202B的基本掺杂分布。接着,可在有源区202A上选择性形成半导体合金202D,可利用选择性外延生长技术结合用以在沉积制程期间覆盖有源区202B的适当掩模技术形成半导体合金202D。接着,可以任意适当的方式形成栅极介电材料,如前参照装置100所述。随后,可利用成熟的沉积技术沉积覆盖层220,如前所述。
图2b示意地描绘当暴露于蚀刻环境230以基于蚀刻掩模231(例如抗蚀剂掩模)选择性移除覆盖层220时的半导体装置200。可基于如前参照图1d的蚀刻序列106所述类似的蚀刻技术执行蚀刻制程230。因此,材料221可在有源区202A上方选择性形成包括所欲的扩散成分种类,例如铝。
图2c示意地描绘半导体装置200具有形成于有源区202A、202B上方的其它覆盖层225。覆盖层225可包括任意适当的组态,以在层226中提供扩散成分种类,并可能结合一个或多个额外的覆盖材料227。例如,层226所包括的扩散成分种类能够适当调整将要形成于有源区202B之中及上方的晶体管的阈值电压。在所示示例中,层226可包括镧,其可代表用以调整N沟道晶体管的阈值电压的适当候选物。额外的覆盖材料227可包括氮化钛或任意其它适当的材料成分。有关形成覆盖层225的制程技术,该覆盖层225可参照上述层221及层121。
图2d示意地描绘在进一步先进制造阶段中的半导体装置200。如图所示,可在覆盖层225上方形成例如由硅及任意其它适当材料组成的保护层203。而且,在退火制程205期间,为了使覆盖层225及220中相应的成分种类开始向介电材料210中扩散,装置200可暴露于高温下。因此,层226的成分种类(例如镧)可有效扩散至有源区202B上方的介电材料210中,同时在有源区202A上方的覆盖层220的层222中抑制发生相应显着的镧扩散。另一方面,层221中的成分种类可有效扩散至有源区202A上方的介电材料210中。以此方式,可在退火制程205期间实现针对不同类型晶体管的阈值电压调整,其中,可使扩散行为实现一定程度的个别调整,例如藉由提供层223而形成特定的“扩散阻力”,从而能够对制程205的给定制程参数进行所欲的的调整。应当了解,针对装置200的不同装置区中阈值电压的调整,可适用如前所述的相同标准。亦即,如有需要,则可局部应用不同的退火参数,以获得具不同“特色”的晶体管组件。
图2e示意地描绘当暴露于蚀刻制程序列206时的半导体装置200,在该制程期间可移除保护层203及覆盖层225及220(图2d),以暴露栅极介电材料210,该栅极介电材料210因如前所述纳入不同类型的扩散成分种类而在有源区202A、202B上方具有不同的材料组成210A、210B。可基于如前参照图1d的蚀刻序列106所述类似的蚀刻配方执行蚀刻序列206。因此,在蚀刻序列206后,可暴露栅极介电材料210并准备进行进一步处理,以增强其稳定性。
图2f示意地描绘在处理207期间的半导体装置200,该处理207用以稳定介电层210的特性,从而稳定相应扩散成分种类的分布及浓度,以提供有源区202A、202B上方的不同介电材料210A、210B。针对处理207,可适用如前所述类似的标准。亦即,可基于适当选择的制程参数纳入氮和/或氧,以调整栅极介电材料210A、210B的“最终”特性,并在进一步处理期间提供优越的稳定性。
图2g示意地描绘在处于沉积制程208期间的半导体装置200,其中,可在有源区202A、202B上方形成含金属电极材料213。亦即,在一些实施例中,电极材料213可直接形成于栅极介电材料210上,亦即不同特性210A、210B的相应部分,而无需不同的功函数金属,这与传统技术在后期制造阶段中需要不同的功函数金属调整实际阈值电压的情况相反。有关适当的材料及沉积技术可参照半导体装置100。
图2h示意地描绘在进一步先进制造阶段中的半导体装置200。如图所示,第一栅极电极结构235A可形成于有源区202A上,并可包括栅极介电材料210A、电极材料213以及其它电极材料214,当装置200需要进一步的处理时,第一栅极电极结构235A还可包括任意覆盖材料及类似材料。类似地,第二栅极电极结构235B可形成于有源区202B上,并可包括栅极介电材料210B、电极材料213以及其它电极材料214。如当装置200需要进一步处理及所欲的的总体装置特性时,材料214可包括硅材料、硅/锗材料及类似材料。应当了解,在一些说明实施例中,在后期制造阶段中,亦即,在有源区202A、202B之中及上方完成基本的晶体管组态后,可使用高导电金属替代材料214。
如图2h所示的半导体装置200可基于如前参照半导体装置100所述类似的技术形成。亦即,在形成含金属电极材料213后,可沉积材料214并随后基于复杂的光刻及蚀刻技术进行图案化以获得尺寸符合设计规则的栅极电极结构235A、235B。例如,对于复杂半导体装置,栅极长度(亦即图2h中电极材料213的水平延伸)可约为50纳米及更小,例如40纳米及更小。接着,可形成保护衬里209,以在进一步处理期间保持栅极电极结构235A、235B的侧壁的完整性。
图2i以更详细的方式示意地描绘部分栅极电极结构235A、235B。如图所示,栅极电极结构235A可包括基层211以及高k介电材料212,其中,基层211因纳入例如氮成分种类及类似材料而具有增强的稳定性,该纳入制程可在前述处理207(图2f)期间实现。而且,可于介电材料212中纳入扩散成分种类(例如铝226A),从而结合半导体合金202D,而使P沟道晶体管具有适当的阈值电压。而且,介电层212还可包括适当的成分种类(例如氮、氧等),可预先纳入该适当的成分种类以提供材料212的优越稳定性。
类似地,栅极电极结构235B可包括形成于基层211上方的高k介电材料212,其中,可纳入扩散成分种类221A9例如镧成分种类及类似材料),以获得所欲的的阈值电压。类似地,层212可包括成分种类212D,以增强材料212的稳定性。
应当了解,如有需要,还可在基层211中纳入成分种类226A及221A,以改变该基层的特性。例如,可将二氧化硅材料转化为硅酸盐,以增加基材211的介电常数。因此,上述制程序列藉由适当变化敏感栅极介电材料而提供调整总体晶体管特性的高度灵活性,同时在同一制程序列期间针对N沟道晶体管及P沟道晶体管提供不同的特性。
图2j示意地描绘在进一步先进制造阶段中的半导体装置200。如图所示,可基于栅极电极结构235A形成第一晶体管250A。类似地,可基于栅极电极结构235B形成第二晶体管250B。如前所述,晶体管250A、250B可分别代表P沟道晶体管和N沟道晶体管。晶体管250A、250B可包括源极区和漏极区251,其依据所欲的的晶体管特性具有任意适当的垂直及横向掺杂分布。例如,可基于形成于栅极电极结构235A、235B的侧壁上的侧间隙壁结构255形成源极区和漏极区251的掺杂分布。而且,硅化金属区(metal silicide)252可形成于源极区和漏极区251中,并可能形成于栅极电极结构235A、235B中,同时,在其它情况下,如前所述,材料214可包括覆盖材料,该覆盖材料可在当欲使用高导电金属替代材料214的其余部分时的后期制造阶段中移除。而且,在一些说明实施例中,晶体管250A、250B的其中一者或两者可包括嵌入相应有源区中的应变诱导半导体材料254。例如,晶体管250A中可形成例如采用硅/锗合金形式的半导体合金254,以在沟道区253中诱发压缩应变,从而进一步增强晶体管250A的总体性能。在其它情况下,可在晶体管250B中提供相应的材料(例如硅/碳合金及类似材料),以增强其性能。
如图2j所示的半导体装置200可基于下列制程技术形成。在图案化栅极电极结构235A、235B后,如有必要,可藉由成熟的制程技术形成材料254,其中,可在有源区202A中形成开口,接着基于选择性外延生长技术使用材料254填充该开口。接着,利用间隙壁结构255作为植入掩模(implantation mask),藉由离子植入形成源极区和漏极区251。随后可进行任意退火制程,其中,栅极介电材料210A、210B的增强稳定性可基本保持先前调整的特性,如前所述。接着,可基于成熟的硅化技术形成硅化金属区252。随后,可沉积层间介电材料,其可包括应力诱导介电材料,以增强晶体管250A、250B的其中一者或两者的性能。在一些说明实施例中(未图示),为了移除材料241并填充任意所欲的的金属(例如铝、钨等),可图案化该层间介电材料,以暴露栅极电极结构235A、235B的顶部表面。
因此,本发明提供半导体装置及制造技术,其中,可在较传统技术降低的温度及剂量下使阈值调整成分种类扩散至晶体管组件的介电材料中。可藉由随后的处理(例如基于氮化或任意其它适当的处理)“保存”该材料特性,从而在进一步处理期间提供所需的优越稳定性。在该覆盖层成分种类向该介电材料中扩散期间,如有需要的话,该成分种类还可纳入基层中,以增强其介电特性。类似地,在用以稳定该敏感栅极介电材料的后续处理期间,可例如藉由纳入氮及类似材料以实现该基层的相应处理。因此,在稳定该敏感栅极介电材料的材料特性后,可藉由沉积单一含金属电极材料执行进一步的处理,以进一步增强总体制程效率。在一些情况下,在早期制造阶段中,材料向栅极介电材料中的互扩散(interdiffusion)还可应用于基于二氧化硅的材料,其中,随后的处理(例如氮化)可因此保持先前调整的材料特性。接着,可沉积“传统”电极材料,例如多晶硅、非晶硅、硅/锗及类似材料。
由于本领域技术人员可借助这里的教导很容易地以不同但等同的方式修改并实施本发明,因此上述特定的实施例仅为说明性质。例如,可以不同的顺序执行上述制程步骤。而且,本发明并不限于这里所示架构或设计的细节,而是如下面的权利要求所述。因此,显然,可对上面揭露的特定实施例进行修改或变更,所有此类变更落入本发明的范围及精神内。因此,以下的权利要求规定本发明的保护范围。

Claims (14)

1.一种方法,包括:
在半导体装置的半导体区域上方形成栅极介电材料(110),该栅极介电材料(110)包括高k介电材料;
在该栅极介电材料(110)上方形成含金属材料(121),该含金属材料(121)包括阈值调整成分种类;
执行热处理(105)以使部分该阈值调整成分种类扩散进入该栅极介电材料(110);
自该栅极介电材料(110)上方移除该含金属材料(121);
执行处理(107)以稳定该栅极介电材料(110);
在该栅极介电材料(110)上形成含金属电极材料(113);以及
基于该含金属电极材料(113)及该栅极介电材料(110)形成晶体管的栅极电极结构(115)。
2.如权利要求1所述的方法,其特征在于,执行处理(107)以稳定该栅极介电材料(110)包括将氮和氧的至少其中一者纳入该高k介电材料(110)中。
3.如权利要求1所述的方法,其特征在于,执行处理(107)以稳定该栅极介电材料(110)包括将氮和氧的至少其中一者纳入该栅极介电材料(110)的基层(111)中。
4.如权利要求1所述的方法,还包括在该含金属材料(121)上方形成保护层(103)以及在有该保护层(103)的情况下执行该热处理(105)。
5.如权利要求1所述的方法,其特征在于,该含金属材料(121)包括镧和铝的其中一者。
6.如权利要求5所述的方法,其特征在于,该含金属材料(121)包括镧,以及该方法还包括基于该栅极电极结构(115)形成N沟道晶体管。
7.如权利要求5所述的方法,其特征在于,该含金属材料(121)包括铝,以及该方法还包括基于该栅极电极结构(115)形成P沟道晶体管。
8.一种半导体装置,包括:
第一有源区(202A);
第一栅极电极结构(235A),形成于该第一有源区(202A)上,该第一栅极电极结构包括介电基材(211)以及形成于该介电基材(211)上的高k介电材料(210),该高k介电材料(210)在该第一栅极电极结构中包括第一阈值调整金属成分种类;
第二有源区(202B);
第二栅极电极结构(235B),形成于该第二有源区(202B)上,该第二栅极电极结构包括该介电基材(211)以及形成于该介电基材(211)上的该高k介电材料(210),该高k介电材料(210)在该第二栅极电极结构中包括第二阈值调整金属成分种类;以及
含金属电极材料(213),该含金属电极材料(213)形成于该第一及第二栅极电极结构中的该高k介电材料(210)上。
9.如权利要求8所述的半导体装置,其特征在于,该第一阈值调整成分种类包括铝,且该第二阈值调整成分种类包括镧。
10.如权利要求8所述的半导体装置,其特征在于,该第一栅极电极结构形成于阈值调整半导体合金上。
11.如权利要求8所述的半导体装置,其特征在于,该含金属电极材料(213)包括钛和氮。
12.如权利要求8所述的半导体装置,其特征在于,该第一及第二栅极电极结构还包括形成于该含金属电极材料(213)上的其它电极材料。
13.如权利要求12所述的半导体装置,其特征在于,该其它电极材料包括硅。
14.如权利要求8所述的半导体装置,其特征在于,该第一及第二栅极电极结构中的该高k介电材料(210)形成与该含金属电极材料(213)的接口,且该高k介电材料(210)在该接口处包括氮和氧的至少其中一者,以稳定该接口。
CN201080026437.2A 2009-05-15 2010-05-10 稳定栅极介电层前藉由扩散栅极介电覆盖层调整复杂晶体管的阈值电压 Active CN102460681B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102009021486A DE102009021486B4 (de) 2009-05-15 2009-05-15 Verfahren zur Feldeffekttransistor-Herstellung
DE102009021486.0 2009-05-15
US12/775,555 US8198192B2 (en) 2009-05-15 2010-05-07 Adjusting threshold voltage for sophisticated transistors by diffusing a gate dielectric cap layer material prior to gate dielectric stabilization
US12/775,555 2010-05-07
PCT/US2010/034164 WO2010132319A1 (en) 2009-05-15 2010-05-10 Adjusting threshold voltage for sophisticated transistors by diffusing a gate dielectric cap layer material prior to gate dielectric stabilization

Publications (2)

Publication Number Publication Date
CN102460681A true CN102460681A (zh) 2012-05-16
CN102460681B CN102460681B (zh) 2014-04-09

Family

ID=42979170

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080026437.2A Active CN102460681B (zh) 2009-05-15 2010-05-10 稳定栅极介电层前藉由扩散栅极介电覆盖层调整复杂晶体管的阈值电压

Country Status (6)

Country Link
US (2) US8198192B2 (zh)
CN (1) CN102460681B (zh)
DE (1) DE102009021486B4 (zh)
SG (1) SG175787A1 (zh)
TW (1) TWI506704B (zh)
WO (1) WO2010132319A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104051339A (zh) * 2013-03-14 2014-09-17 格罗方德半导体公司 用在hk/mg程序流程的p型半导体装置
WO2015007143A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Intrinsic channel planar field effect transistors having multiple threshold voltages
WO2015007144A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Fin field effect transistors having multiple threshold voltages
CN104752316A (zh) * 2013-12-25 2015-07-01 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104934376A (zh) * 2014-03-18 2015-09-23 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN105843981A (zh) * 2015-02-04 2016-08-10 三星电子株式会社 电路设计系统与使用该系统设计的半导体电路
WO2016132275A1 (en) * 2015-02-19 2016-08-25 International Business Machines Corporation On-chip semiconductor device having enhanced variability
WO2022100474A1 (en) * 2020-11-11 2022-05-19 International Business Machines Corporation Secure chip identification using random threshold voltage variation in a field effect transistor structure as a physically unclonable function

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009015747B4 (de) * 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
DE102009021486B4 (de) * 2009-05-15 2013-07-04 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Feldeffekttransistor-Herstellung
JP5435720B2 (ja) * 2009-12-21 2014-03-05 パナソニック株式会社 半導体装置
US8343865B2 (en) * 2010-01-21 2013-01-01 Renesas Electronics Corporation Semiconductor device having dual work function metal
DE102010063296B4 (de) * 2010-12-16 2012-08-16 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
DE102010064281B4 (de) * 2010-12-28 2017-03-23 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellung einer Kanalhalbleiterlegierung durch Erzeugen eines Hartmaskenschichtstapels und Anwenden eines plasmaunterstützten Maskenstrukturierungsprozesses
CN102651313B (zh) * 2011-02-25 2014-12-24 中国科学院微电子研究所 Pmos器件叠层结构的制备和栅功函数调节方法
US8865538B2 (en) * 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
DE102012205977B4 (de) 2012-04-12 2017-08-17 Globalfoundries Inc. Halbleiterbauelement mit ferroelektrischen Elementen und schnellen Transistoren mit Metallgates mit großem ε sowie Herstellungsverfahren
US20130277766A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Multiple high-k metal gate stacks in a field effect transistor
US8735240B2 (en) 2012-04-25 2014-05-27 Globalfoundries Inc. CET and gate current leakage reduction in high-k metal gate electrode structures by heat treatment after diffusion layer removal
KR102066851B1 (ko) 2013-02-25 2020-02-11 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9202697B2 (en) * 2013-07-19 2015-12-01 Globalfoundries Inc. Forming a gate by depositing a thin barrier layer on a titanium nitride cap
US9293556B2 (en) * 2014-07-29 2016-03-22 Globalfoundries Inc. Semiconductor structure including a ferroelectric transistor and method for the formation thereof
CN109980014B (zh) * 2019-03-26 2023-04-18 湘潭大学 一种后栅极铁电栅场效应晶体管及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200707651A (en) * 2005-07-21 2007-02-16 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US20090026557A1 (en) * 2006-03-31 2009-01-29 Fujitsu Limited Semiconductor device and method of manufacturing the same
CN101431055A (zh) * 2007-10-24 2009-05-13 台湾积体电路制造股份有限公司 双功函数半导体装置及其制造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287897B1 (en) * 2000-02-29 2001-09-11 International Business Machines Corporation Gate dielectric with self forming diffusion barrier
KR100444603B1 (ko) * 2001-12-22 2004-08-16 주식회사 하이닉스반도체 탄탈륨 펜타 옥사이드-알루미늄 옥사이드 유전체막 제조방법 및 이를 적용한 반도체 소자
JP2004197862A (ja) * 2002-12-19 2004-07-15 Strawberry Corporation ヒンジ装置並びにヒンジ装置を用いた電子機器
JP4619637B2 (ja) 2003-09-09 2011-01-26 財団法人国際科学振興財団 半導体装置及びその製造方法
TWI258811B (en) * 2003-11-12 2006-07-21 Samsung Electronics Co Ltd Semiconductor devices having different gate dielectrics and methods for manufacturing the same
JP2006253267A (ja) 2005-03-09 2006-09-21 Sony Corp 半導体装置の製造方法および半導体装置
US7160781B2 (en) 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
JP2007243009A (ja) * 2006-03-10 2007-09-20 Renesas Technology Corp 半導体装置およびその製造方法
US20080237604A1 (en) * 2007-03-30 2008-10-02 Husam Niman Alshareef Plasma nitrided gate oxide, high-k metal gate based cmos device
JP5139023B2 (ja) * 2007-10-16 2013-02-06 株式会社東芝 半導体装置の製造方法
JP5178152B2 (ja) * 2007-11-05 2013-04-10 株式会社東芝 相補型半導体装置及びその製造方法
DE102009021486B4 (de) * 2009-05-15 2013-07-04 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Feldeffekttransistor-Herstellung

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200707651A (en) * 2005-07-21 2007-02-16 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US20090026557A1 (en) * 2006-03-31 2009-01-29 Fujitsu Limited Semiconductor device and method of manufacturing the same
CN101431055A (zh) * 2007-10-24 2009-05-13 台湾积体电路制造股份有限公司 双功函数半导体装置及其制造方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104051339B (zh) * 2013-03-14 2017-01-18 格罗方德半导体公司 用在hk/mg程序流程的p型半导体装置
CN104051339A (zh) * 2013-03-14 2014-09-17 格罗方德半导体公司 用在hk/mg程序流程的p型半导体装置
WO2015007143A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Intrinsic channel planar field effect transistors having multiple threshold voltages
WO2015007144A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Fin field effect transistors having multiple threshold voltages
CN105518848A (zh) * 2013-07-18 2016-04-20 格罗方德半导体股份有限公司 具有多个阈值电压的鳍式场效应晶体管
CN105531815A (zh) * 2013-07-18 2016-04-27 格罗方德半导体股份有限公司 具有多个阈值电压的本征沟道平面型场效应晶体管
CN104752316A (zh) * 2013-12-25 2015-07-01 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104752316B (zh) * 2013-12-25 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104934376A (zh) * 2014-03-18 2015-09-23 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN105843981A (zh) * 2015-02-04 2016-08-10 三星电子株式会社 电路设计系统与使用该系统设计的半导体电路
CN105843981B (zh) * 2015-02-04 2021-06-08 三星电子株式会社 电路设计系统与使用该系统设计的半导体电路
WO2016132275A1 (en) * 2015-02-19 2016-08-25 International Business Machines Corporation On-chip semiconductor device having enhanced variability
US9691718B2 (en) 2015-02-19 2017-06-27 International Business Machines Corporation On-chip semiconductor device having enhanced variability
GB2551082A (en) * 2015-02-19 2017-12-06 Ibm On-chip semiconductor device having enhanced variability
GB2551082B (en) * 2015-02-19 2018-04-25 Ibm On-chip semiconductor device having enhanced variability
WO2022100474A1 (en) * 2020-11-11 2022-05-19 International Business Machines Corporation Secure chip identification using random threshold voltage variation in a field effect transistor structure as a physically unclonable function
US11575023B2 (en) 2020-11-11 2023-02-07 International Business Machines Corporation Secure chip identification using random threshold voltage variation in a field effect transistor structure as a physically unclonable function
GB2616547A (en) * 2020-11-11 2023-09-13 Ibm Secure chip identification using random threshold voltage variation in a field effect transistor structure as a physically unclonable function
US11894444B2 (en) 2020-11-11 2024-02-06 International Business Machines Corporation Secure chip identification using random threshold voltage variation in a field effect transistor structure as a physically unclonable function

Also Published As

Publication number Publication date
US20100289089A1 (en) 2010-11-18
CN102460681B (zh) 2014-04-09
WO2010132319A8 (en) 2011-12-29
DE102009021486B4 (de) 2013-07-04
US8525289B2 (en) 2013-09-03
WO2010132319A1 (en) 2010-11-18
TWI506704B (zh) 2015-11-01
TW201115649A (en) 2011-05-01
US8198192B2 (en) 2012-06-12
US20120193727A1 (en) 2012-08-02
DE102009021486A1 (de) 2010-11-18
SG175787A1 (en) 2011-12-29

Similar Documents

Publication Publication Date Title
CN102460681B (zh) 稳定栅极介电层前藉由扩散栅极介电覆盖层调整复杂晶体管的阈值电压
KR101645632B1 (ko) 서로 다른 두께의 게이트 유전체들을 포함하는 하이-k 게이트 스택에 있어서의 일함수 조정
US9337057B2 (en) Semiconductor device and method for fabricating the same
US6921691B1 (en) Transistor with dopant-bearing metal in source and drain
EP1711959B1 (en) Transistor with doped gate dielectric and method of manufacturing the same
CN103811326B (zh) 用于中间隙半导体设备的金属栅极结构及其制造方法
US9899270B2 (en) Methods for manufacturing semiconductor devices
CN100485962C (zh) 半导体器件及其制造方法
US8324119B2 (en) Enhancing deposition uniformity of a channel semiconductor alloy by an in situ etch process
US9136181B2 (en) Method for manufacturing semiconductor device
CN102484053A (zh) 均匀高k金属闸极堆栈藉由在闸极图案化之前扩散金属成分调整精密晶体管的临限电压
CN103456693A (zh) Pmos设备的中原位掺杂硅锗接合
US8962463B2 (en) Semiconductor device with dual work function gate stacks and method for fabricating the same
US20210143068A1 (en) Semiconductor device and method for forming gate structure thereof
US9245806B2 (en) Semiconductor device with transistor and method of fabricating the same
US20130288435A1 (en) Cet and gate current leakage reduction in high-k metal gate electrode structures by heat treatment after diffusion layer removal
US8518784B2 (en) Adjusting of strain caused in a transistor channel by semiconductor material provided for threshold adjustment
CN102341906A (zh) 通过减少非均匀性沉积的包括沟道半导体合金的晶体管中的阈值电压变异的减少
CN103094214A (zh) 制作半导体器件的方法
US8722486B2 (en) Enhancing deposition uniformity of a channel semiconductor alloy by forming a recess prior to the well implantation
CN104299911B (zh) 通过单步骤沉积实现栅极包覆
US7790535B2 (en) Depletion-free MOS using atomic-layer doping
CN105990119B (zh) 半导体器件制作方法、半导体器件及电子装置
CN106298476A (zh) 一种半导体器件的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181102

Address after: American California

Patentee after: Tesla Advanced Technology Company

Address before: Cayman Islands

Patentee before: Globalfoundries Semiconductor Inc.