CN102356700B - 固定嵌入部、电极组件和等离子处理室 - Google Patents

固定嵌入部、电极组件和等离子处理室 Download PDF

Info

Publication number
CN102356700B
CN102356700B CN201080012058.8A CN201080012058A CN102356700B CN 102356700 B CN102356700 B CN 102356700B CN 201080012058 A CN201080012058 A CN 201080012058A CN 102356700 B CN102356700 B CN 102356700B
Authority
CN
China
Prior art keywords
rear portion
embedded division
silica
showerhead electrode
torque receiving
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080012058.8A
Other languages
English (en)
Other versions
CN102356700A (zh
Inventor
兰德尔·哈丁
乔纳森·凯尔
杜安·莱特尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102356700A publication Critical patent/CN102356700A/zh
Application granted granted Critical
Publication of CN102356700B publication Critical patent/CN102356700B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/03Mounting, supporting, spacing or insulating electrodes
    • H01J2237/032Mounting or supporting

Abstract

本发明提供一种硅基喷头电极,其中后部嵌入部设置在沿着电极的后部形成的后部凹陷内。后部嵌入部包括螺纹外径、螺纹内径和形成于螺纹内径内的工具啮合部。工具啮合部形成以使该后部嵌入部进一步包括一个或多个位于工具啮合部和螺纹外径之间的侧面防护部以防止与后部嵌入部的工具啮合部啮合的工具延伸超出该嵌入部的螺纹外径。进一步地,后部嵌入部的工具啮合部包括多个沿着后部嵌入部的转轴线布置的转矩接收槽。该转矩接收槽通过相对成对的转矩接收槽避免同轴转动的方式布置。

Description

固定嵌入部、电极组件和等离子处理室
技术领域
本公开一般地涉及等离子体工艺,更确切地,涉及等离子体处理室和在此使用的电极组件。
背景技术
等离子体工艺机构可被用于处理衬底,该处理可使用多种技术,包括但不限于,蚀刻、物理气相沉积、化学气相沉积、离子注入、光刻胶移除等。例如,并不意在限定,等离子体处理室的一种包含上电极,通常指喷头电极,和下电极。电场被建立在电极之间以激发工艺气体成为等离子体状态,从而在反应室内处理衬底。
发明内容
根据本公开的一个实施例,提供了硅基喷头电极,其中后部嵌入部(insert)设置在沿着电极的后部形成的后部凹陷内。后部嵌入部包括螺纹外径、螺纹内径和形成于螺纹内径内的工具啮合部。形成的该工具啮合部使得为后部嵌入部进一步包括一个或多个位于工具啮合部和螺纹外径之间的侧面防护部以防止与后部嵌入部的工具啮合部相啮合的工具延伸超出该嵌入部的螺纹外径。
在另一个实施例中,后部嵌入部的工具啮合部包括多个绕后部嵌入部的转轴线布置的转矩接收槽。该转矩接收槽以通过相对成对的转矩接收槽方式布置避免后部嵌入部的同轴转动。其它的实施例涉及包括在此公开的方式制造的硅基喷头电极的等离子体处理室。
附图说明
当结合下列附图时,本公开的具体实施方式的下面的详细描述可以很好地理解,附图中相似的结构使用相同的数字表示,其中:
图1是本公开的特殊实施例的等离子处理室的示意图;
图2是根据本公开的实施例的喷头电极的后部的俯视图;
图3是根据本公开的实施例的喷头电极的一部分的剖视图;
图4是根据本公开的实施例的喷头电极的后部和厚度尺寸的等比示意图;
图5是根据本公开的实施例的包括固定部件的电极组件的剖视图;
图6、7、8A和9是根据本公开的一些替代实施例的包括固定部件的电极组件一部分的剖视图;
图8B和8C是使图8A所示的主题的结构和操作清楚的示意图;
图10和11是根据本公开的进一步的替代实施例的电极组件的固定部件和补充机器部分的示意图;
图12和13是本公开的补充示例的后部嵌入部的等比示意图;
图14和图15是根据本公开在后部嵌入部内布置转矩接收槽的两个可选择方式的示意图;和
图16是啮合和旋转图12和13示出的后部嵌入部的工具的示意图。
具体实施方式
本公开的不同方面可以在等离子体处理室10的环境中示出,其仅仅在图1中示出以避免将本公开的内容限制在特定的等离子体处理结构或部件,该限制使得本公开的主题可能不完整。一般地如图1所示,等离子体处理室10包括真空源20、工艺气体供应30、等离子体功率供应40、包括下电极组件55的衬底支架50和上电极组件100。
参见图2-5,根据本公开的一个实施例示出了上电极组件100。一般地,电极组件100包括固定部件60、对齐销66、热控制板70、硅基喷头电极80和设置于热控制板70的前部74和硅基喷头电极80的后部82之间的热导电衬垫75。更具体地,热控制板70包括后部72、前部74和一个或多个配置为引导工艺气体到热控制板70的前部74的工艺气体通道76。尽管该公开并不限于特定热控制板材料或工艺气体通道配置,应该注意到合适的热控制板材料包括铝、铝合金或类似的热导体。另外,应该注意到,多种教导可依赖于热控制板的设计,包括但不限于U.S.Pub.No.2005/0133160中的热控制板的设计。
硅基喷头电极80包括后部82、前部84和多个从硅基喷头电极80的后部82延伸到硅基喷头电极80的前部84的喷头通道86。该硅基喷头电极80进一步包括多个形成于电极80的后部的后部凹陷88。如图5所示,后部凹陷88在凹陷88和电极80的前部84之间留有厚度为x的硅基电极材料。沿着电极80的后部82的后部凹陷内设置后部嵌入部90。凹陷88和喷头电极80的前部84之间的硅基电极材料通过将后部嵌入部90和固定部件60与等离子体室内的活性组分隔离的方式,帮助最小化等离子体处理室10内的污染物潜在源。为了帮助确保前述隔离在电极80有寿命时保持,厚度x优选近似于0.25cm或,换一种方式表达,至少近似于硅基喷头电极80的总厚度的25%。
参见图1,该隔离可以通过配置热控制板70和硅基喷头电极80来限定一个密封的等离子体隔断65来加强,这样等离子体处理室10的疏散部15内的气体和活性组分不能到达固定部件60和该嵌入部。这种特定方式中定义了等离子体隔断65,且根据热控制板70和喷头电极80各自的配置会有所不同。在大多数实施例中可预期,形成热控制板70和喷头电极80的各自材料会限定大部分隔断。另外,可预期用各种密封件加强该隔断,尤其在热控制板70和喷头电极80与彼此接口和与等离子体处理室10的其它组件接口的地方。
参见图5,等离子体室10内活性组分同后部嵌入部90和固定部件60的前述隔离可以通过在后部凹陷88内设置后部嵌入部90来进一步加强,这样它们被插进硅基喷头电极80的后部82或至少相对于硅基喷头电极80的后部82相平齐。同样地,固定部件60可设置于热控制板70内的固定部件通道78内,这样,它被插进热控制板70的后部72或至少相对于热控制板70的后部72相平齐。
除了工艺气体通道76之外,热控制板70包括固定部件通道78,该固定部件通道78被配置为允许固定部件60能够进入后部凹陷88内设置的后部嵌入部90内,后部凹陷88沿着硅基喷头电极80的后部82设置。热控制板70和硅基喷头电极80可使用固定部件60和后部嵌入部90来相啮合。在啮合状态,热控制板70的前部74正对硅基喷头电极80的后部82且硅基喷头电极80内的喷头通道86与热控制板70内的工艺气体通道76对齐。另外,固定部件通道78与位于后部凹陷88内的后部嵌入部90相对齐,后部凹陷88沿着电极80的后部82设置。结果,固定部件60可以延伸穿过热控制板70内的固定部件通道78,且与后部嵌入部90相啮合,后部嵌入部90设置于沿着电极80的后部82的后部设置的凹陷88内。
固定部件60和后部嵌入部90被配置为维持热控制板70和硅基喷头电极80的啮合。另外,固定部件60和后部嵌入部90被配置为允许热控制板80和喷头电极80脱离。在图5所示的实施例中,和在此描述的其它实施例中,硅基喷头电极80的硅基电极材料通过后部嵌入部90的相对有弹性的材料在结合和脱离过程中与固定部件60的摩擦接触隔离。该隔离,由后部嵌入部90提供,有助于消除由作为等离子体室10内的污染物源的固定部件60造成的硅基电极材料的磨损。后部嵌入部90的弹性也允许热控制板70和硅基喷头电极80的重复的、非破坏性的啮合和脱离。
尽管可以选择多种材料形成后部嵌入部90,包括热塑性塑料或其它塑料、合成橡胶、陶瓷、金属或带有材料复合层的嵌入,根据本公开的一些实施例,后部嵌入部包括形成和制造的大量聚醚醚酮(PEEK),这样后部嵌入部90的硬度未超过硅基电极材料的硬度。其它候选材料包括但不限于,
Figure BDA0000091524240000051
(迭尔林)或在填入或没有填入的均聚物或共聚物、尼龙、聚四氟乙烯(PTFE)或其组合物时形成的其它聚甲醛树脂工程塑料。
在图5和7-11所示的实施例中,尽管热控制板70和硅基喷头电极80可以用与本公开构思一致的多种方式配合,后部嵌入部90可配置为硅基喷头电极80的后部82中形成的后部凹陷88中的铆件。更具体地,在图5的实施例中,后部嵌入部90通过在硅基电极材料内提供螺纹部分铆在后部凹陷内。当该嵌入部90就位后,固定部件60,例如包括螺钉或螺栓,与后部嵌入部90相啮合来将喷头电极80固定到热控制板70上。图7的实施例中,后部嵌入部通过粘结剂铆到后部凹陷内。在图8A-8C所示的实施例中,后部凹陷88被机械加工成包括底槽部89且后部嵌入部90通过将该嵌入部90插入凹陷88内,并将其旋转进入后部凹陷88的底槽部89内而铆接在后部凹陷88内。
参见图9,应该注意到后部嵌入部90可被配置为按扣,按扣包括被配置为延伸入在热控制板70内的固定部件通道78的一个的后部延伸92。在这种情况下,固定部件60被配置为通过例如螺纹啮合进入固定部件通道78内的后部嵌入部90的后部延伸92。
在此公开的采用一个或多个后部嵌入部90的任何实施例中,确保固定部件60、后部嵌入部90和后部凹陷88被配置为,在热载荷过程中,且固定部件60和后部嵌入部90处于啮合状态,后部嵌入部能够在后部凹陷内与固定部件一起移动,而没有从凹陷中移出通常是有利的。例如,参见图10-11所示的本公开的实施例,其中参考图8A-8C所示的底槽部实施例的细节被示出,提供带有耳片95的后部嵌入部90,耳片95被配置为填补形成于喷头电极80的电极材料内的底槽部89。如槽85定义的那样,通过使耳片95与电极80内的相应的槽85对齐,将嵌入部90嵌入进凹陷88内,且旋转嵌入部90,从而将嵌入部90固定在凹陷88内。
在图10和11的实施例中,通过在嵌入部90的埋入端96的小径部94周围提供弹簧,嵌入部90可以弹簧加载(spring-loaded)状态固定在凹陷88内,且选择的嵌入部90的外径尺寸和耳片95的尺寸和形状允许在弹簧加载状态下嵌入部90能在后部凹陷88内移动。结果,在热载荷代表性地出现在等离子体工艺的过程中,后部嵌入部90可在后部凹陷88内与固定部件60一起移动而没有从凹陷88移出并且没有降低固定部件60和嵌入部90的啮合程度。
本发明人认识到任何与邻近凹陷88的电极材料的摩擦接触都能在等离子处理室10内产生潜在污染物源。相应地,在后部嵌入部90根据本公开被配置为用螺丝刀或其它潜在的磨擦工具安装或移除的地方,如图10-11所示的实施例,可以预期,在与移除工具匹配的槽或其他啮合部的边缘处可以给后部嵌入部90的槽驱动头提供侧面防护部98。更一般地说,后部嵌入部90可以包括一个或多个侧面防护部98,防护部98配置为允许工具在其工具啮合部与后部嵌入部啮合,而延伸不超过该嵌入部的外围,在此其可以接触电极材料内的凹陷的内径。
可以使用多种弹簧加载配置来减少固定部件60在等离子体工艺过程中因热负荷引起的压力而脱离的趋势。例如,一种提供热控制板70和硅基喷头电极80的弹簧加载啮合的结构如图5-7所示。在图5和7中,后部嵌入部90被配置为喷头电极80的后部82内形成的后部凹陷88中的一个内的铆件,且固定部件60包括弹簧加载垫片62形式的弹簧元件,当固定部件60进入后部嵌入部90时提供与啮合力相反的力。在图6中,后部嵌入部被省略,取而代之的是电极材料内带有螺纹孔的直螺纹啮合。可替代地,如图9所示,可提供在固定部件通道78内的沿固定部件60的纵向延伸方向布置的螺旋弹簧64的弹簧元件。
根据本公开的另外的实施例的后部嵌入部110如图12-14所示。如上述公开的实施例,图12-14所示的后部嵌入部110可被设在沿着硅基喷头电极的后部的后部凹陷内。在图12-14的实施例中,后部嵌入部110包括螺纹外径112、螺纹内径114和在螺纹内径114内形成的工具啮合部116。如图10和11所示的后部嵌入部110的实施例,后部嵌入部110包括一个或多个工具啮合部116和嵌入部110的螺纹外径112之间的侧面防护部115。侧面防护部115帮助确保与后部嵌入部110的工具啮合部116啮合的工具不会不经意地延伸超出后部嵌入部110的螺纹外径112。如上所提示的,这帮助确保该工具不会与硅基喷头电极接触,从而防止脱离电极上的材料成为污染物。
如图12-14所示,后部嵌入部110的工具啮合部116包括沿多个后部嵌入部110的转轴线130布置的转矩接收槽120。为了帮助确保使用者不试图用常规工具移出嵌入部,像用平头和十字头(Phillips)螺丝刀,该转矩接收槽120以这样的方式设置:当试图用例如平头螺丝刀啮合相对成对设置的转矩接收槽120时将导致嵌入部110脱离轴线而转动不灵。更具体地,如图14所示的沿着两两相对成对设置的转矩接收槽120之间延伸的虚线,任何相对成对的转矩接收槽的之间的这种啮合会完全脱离轴线。相比之下,如图16所示形式的互补型的安装/移除工具200,会产生更多优选的绕转轴线130的同轴旋转。
尽管图12-14和16清楚涉及的实施例中,后部嵌入部110的工具啮合部116包括三个转矩接收槽120,该三个转矩接收槽120沿着转轴线130排列为三对相对成对的转矩接收槽120,可预期后部嵌入部110的工具啮合部116可包括n个转矩接收槽,该n个转矩接收槽沿着后部嵌入部的转轴线排列为n(n-l)/2对相对成对设置的转矩接收槽。如图14和15所示,转矩接收槽120绕转轴线等距离间隔,且n=3(参见图14)或n=5(参见图15)。在替代的实施例中,可预期转矩接收槽可绕转轴线等距离间隔或非等距离间隔,且在大多数实施例中,优选确保提供3个、4个或5个槽120(3≤n≤5),因为一些多槽结构可能降低被回避(avoid)的同轴旋转的度数。
如图12和13所示,转矩接收槽120统一向下进入嵌入部110的结构内,而没有减少剖面尺寸,如此,可以描述为定义渐进的非收缩的剖面轮廓。相比之下,许多传统的五金螺丝头,像十字形螺丝头(Phillips type screw head),定义随深度而收缩的剖面轮廓以帮助确保螺丝钉和螺丝刀的啮合固定。然而,本发明人认识到,这种收缩在本公开的环境中是不利的,因为它会导致在安装/移出工具和嵌入部之间的过度摩擦接触,且成为微粒污染物源。
为了进一步防止污染物在移出工具和后部嵌入部110之间的接触中产生,可预期转矩接收槽120可被设计为平行于转轴线130延伸的侧壁118为无顶端侧壁。更具体地,当两个线性壁部分连接时,侧壁118没有通常会形成的那种突然的几何不连续。这些几何不连续和在匹配安装/移出工具中的互补的相对部件,可能成为重大污染物源,因为他们典型形成在机械配合环境中接触时破坏或从配合表面移出污染物的相对易碎的或潜在破坏性顶端。如图12和13所示,尽管可能有槽120的部分,在此弧形侧壁部与线性侧壁部相连,该槽的设计应该没有线性对线性的形成顶端连接。结果,安装/移出工具200,如图16所示的例子,也可以被设计为没有典型的线性对线性侧壁连接的易碎的或潜在的破坏性顶端。
尽管本发明的各种概念已在此在硅基电极材料的上下文中描述,这些硅基电极材料如单晶硅、多晶硅、氮化硅和碳化硅,应该注意到本发明在多种环境中具有实用性,包括那些硅基材料包括碳化硼、氮化铝、氧化铝或其组合物的地方。另外,可预期硅基喷头电极80可以出现在多种结构中而没有脱离本公开的范围,包括但不限于,包含有圆形中心电极和绕该中心电极周围布置的一个或多个边缘电极的单件、圆形喷头结构或多部件、圆形喷头结构,。
应注意,关于本发明中的部件的描述是与拟定用途描述相对的结构性描述,该结构性描述即,所述部件被“设置成”以一种特殊的方式使其特性或功能具体化。具体而言,所述部件被“设置”的方式,意指所述部件目前的物理状态,并视为对所述部件结构性特征的清晰描述。
请注意“优选地”、“通常”和“典型地”之类术语,当本发明使用这些术语时,并不是用于限制本发明请求保护的范围,或者意指某些特征对于本发明的结构或功能是关键的、基本的甚或是重要的。确切地说,这些术语仅仅是用于辨别本发明的具体实施方式的特定方面,或者强调可以或者不可以用于本发明的特定实施方式中的替代的或者附加的特征。
为描述或者界定本发明之目的,请注意,术语“大约”在本发明中用于表述固有的不确定性程度,其中所述不确定性源于任何量化对比、数值、量度或者其它的表述。术语“大约”在本发明也用于定量描述与所述状态之间的可能变化程度,而对所研究主题的基本功能没有影响。
已经参照本发明的具体实施例对本发明进行了详细的描述,显然,在未脱离本发明在所附权利要求中所要求保护的范围内,可对本发明进行修改和变更。具体而言,尽管本公开的某些方面被确定为是优选的,或者特别有利的,可以预料,本公开并不必然地限于本发明的这些优选方面。
请注意下文的权利要求中的一项或者多项使用了术语“其中”作为过渡语。基于界定本发明之目的,该术语是作为开放式过渡语引进权利要求中的,其用于陈述所述结构的一系列特征,并且应当像解释通常使用的开放式前序术语“包括”一样解释这个词。

Claims (8)

1.一种硅基喷头电极,其包括后部、前部、多个喷头通道、多个后部凹陷和多个后部嵌入部,其中:
所述多个喷头通道从所述硅基喷头电极的所述后部延伸至所述硅基喷头电极的所述前部;
所述多个后部凹陷形成于所述硅基喷头电极的所述后部,所述后部凹陷被布置成在所述喷头电极的所述凹陷和所述硅基喷头电极的所述前部之间留有一定厚度的硅基电极材料;
所述后部嵌入部设置于沿着所述硅基喷头电极的所述后部的所述后部凹陷内;
所述后部嵌入部包括螺纹外径、螺纹内径和形成于所述螺纹内径内的工具啮合部;
所述后部嵌入部的所述工具啮合部包括绕所述后部嵌入部的转轴线布置的多个转矩接收槽;和
所述转矩接收槽布置成通过相对成对的转矩接收槽避免所述后部嵌入部的同轴转动。
2.如权利要求1所述的硅基喷头电极,其中:
形成所述工具啮合部以使所述后部嵌入部进一步包括位于所述工具啮合部和所述螺纹外径之间的一个或多个侧面防护部,从而防止与所述后部嵌入部的所述工具啮合部啮合的工具延长超出所述嵌入部的所述螺纹外径。
3.如权利要求1所述的硅基喷头电极,其中:
所述后部嵌入部的所述工具啮合部包括绕所述后部嵌入部的转轴线布置成三对相对成对的三个所述转矩接收槽;和
所述转矩接收槽布置成通过所述三对相对成对的转矩接收槽中的每一对避免所述后部嵌入部的同轴转动。
4.如权利要求1所述的硅基喷头电极,其中:
所述后部嵌入部的所述工具啮合部包括绕后部嵌入部的转轴线布置成n(n-1)/2对相对成对的n个转矩接收槽;和
所述转矩接收槽布置成通过所述n(n-1)/2对相对成对的转矩接收槽中的每一对避免所述后部嵌入部同轴转动。
5.如权利要求4所述的硅基喷头电极,其中:所述转矩接收槽绕所述转轴线等距离间隔,且n=3或n=5。
6.如权利要求4所述的硅基喷头电极,其中:所述转矩接收槽绕所述转轴线等距离间隔或不等距离间隔,且3≤n≤5。
7.如权利要求1所述的硅基喷头电极,其中:所述转矩接收槽定义沿着与所述后部嵌入部的所述转轴线平行的方向渐进的非收缩性的剖面轮廓。
8.如权利要求1所述的硅基喷头电极,其中:所述转矩接收槽由平行于所述转轴线方向延伸的无顶端侧壁定义。
CN201080012058.8A 2009-03-24 2010-03-15 固定嵌入部、电极组件和等离子处理室 Active CN102356700B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/409,984 2009-03-24
US12/409,984 US8187414B2 (en) 2007-10-12 2009-03-24 Anchoring inserts, electrode assemblies, and plasma processing chambers
PCT/US2010/027273 WO2010111055A2 (en) 2009-03-24 2010-03-15 Anchoring inserts, electrode assemblies, and plasma processing chambers

Publications (2)

Publication Number Publication Date
CN102356700A CN102356700A (zh) 2012-02-15
CN102356700B true CN102356700B (zh) 2014-06-25

Family

ID=42781764

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080012058.8A Active CN102356700B (zh) 2009-03-24 2010-03-15 固定嵌入部、电极组件和等离子处理室

Country Status (7)

Country Link
US (2) US8187414B2 (zh)
JP (1) JP5986503B2 (zh)
KR (1) KR101651457B1 (zh)
CN (1) CN102356700B (zh)
SG (1) SG173904A1 (zh)
TW (2) TWI659673B (zh)
WO (1) WO2010111055A2 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7721488B1 (en) * 2005-10-05 2010-05-25 Bennett Scott A Flashing apparatus for external use on structures
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9947512B2 (en) 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
JP5865483B2 (ja) * 2012-03-14 2016-02-17 キヤノンアネルバ株式会社 締結部材および真空装置
US11391315B2 (en) * 2012-10-25 2022-07-19 Elijah Tooling, Inc. Precision threaded locator fastener bushing
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6868616B2 (ja) * 2015-10-08 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 背面でのプラズマ点火が低減されたシャワーヘッド
TWI610329B (zh) * 2016-11-08 2018-01-01 財團法人工業技術研究院 電漿處理裝置
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
CN109958681B (zh) * 2017-12-22 2020-12-11 中微半导体设备(上海)股份有限公司 一种脆性材料零件安装装置及其应用
US10954983B2 (en) * 2018-12-14 2021-03-23 Raytheon Company Weight-triggered locking feature
US11208838B2 (en) * 2019-05-22 2021-12-28 Toyota Motor Engineering & Manufacturing North America, Inc. Window clip release system
KR102243897B1 (ko) * 2019-06-26 2021-04-26 세메스 주식회사 샤워 헤드 유닛, 이를 포함하는 기판 처리 장치 및 샤워 헤드 유닛 조립 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1977068A (zh) * 2003-12-23 2007-06-06 兰姆研究公司 用于等离子加工装置的喷淋头电极组件

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2984279A (en) * 1958-08-07 1961-05-16 Rosan Eng Corp Locking insert with deformable flange bearing peripheral biting teeth
GB972814A (en) * 1960-07-25 1964-10-14 Rosan Eng Corp Thin-walled inserts
US3190169A (en) * 1961-12-04 1965-06-22 Rosan Eng Corp Insert having internal drive grooves
US3319690A (en) * 1964-01-02 1967-05-16 Rosan Eng Corp Top driven locking insert
US3259162A (en) * 1964-02-06 1966-07-05 Rosan Eng Corp Insert with frictionally interlocked locking ring
US3241408A (en) * 1964-04-30 1966-03-22 Lewis D Mccauley Vehicle wheel nut or bolt
JPS4814969B1 (zh) * 1967-10-06 1973-05-11
US3841371A (en) * 1968-07-30 1974-10-15 Microdot Inc Lock nut
US3783173A (en) 1972-05-19 1974-01-01 Us Army Gasket-electrically conductive
US3939321A (en) 1973-11-19 1976-02-17 Lockheed Aircraft Corporation Portable electrical discharge metalworking machine
FR2514084B1 (fr) * 1981-10-07 1985-09-13 Telemecanique Electrique Dispositif par vissage sur un profile et combinaison d'un profile avec un tel dispositif
US4480513A (en) * 1981-11-16 1984-11-06 Mcgard, Inc. Bolt-lock structure
US4654754A (en) 1982-11-02 1987-03-31 Fairchild Weston Systems, Inc. Thermal link
JPS60231813A (ja) 1984-05-01 1985-11-18 Tanaka Kikinzoku Kogyo Kk 紡糸口金
US4595484A (en) 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4782893A (en) 1986-09-15 1988-11-08 Trique Concepts, Inc. Electrically insulating thermally conductive pad for mounting electronic components
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4820371A (en) 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US5420388A (en) 1989-03-29 1995-05-30 Charmilles Technologies Tool-holder and rapid rotary spindle
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5232323A (en) * 1992-09-28 1993-08-03 General Electric Company Removable threaded fastener with locking plate
US5435678A (en) * 1993-04-27 1995-07-25 Monogram Aerospace Fasteners, Inc. Insert assembly for connecting fasteners to lightweight materials
US5660917A (en) 1993-07-06 1997-08-26 Kabushiki Kaisha Toshiba Thermal conductivity sheet
CA2129073C (en) 1993-09-10 2007-06-05 John P. Kalinoski Form-in-place emi gaskets
DE4339786C5 (de) 1993-11-18 2004-02-05 Emi-Tec Elektronische Materialien Gmbh Verfahren zur Herstellung einer Anordung zur Wärmeableitung
US5545473A (en) 1994-02-14 1996-08-13 W. L. Gore & Associates, Inc. Thermally conductive interface
US5585013A (en) 1995-04-07 1996-12-17 Truty; Thomas J. Electrode guide
US5567093A (en) 1995-04-11 1996-10-22 Richmond; Daryl E. Seal for coolant-fed tools
US5679457A (en) 1995-05-19 1997-10-21 The Bergquist Company Thermally conductive interface for electronic devices
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5932007A (en) 1996-06-04 1999-08-03 General Signal Technology Corporation Method and apparatus for securely supporting a growing crystal in a czochralski crystal growth system
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US5977503A (en) 1997-11-03 1999-11-02 Leach Thomas S. Attachment device for electrical discharge machine
US6096414A (en) 1997-11-25 2000-08-01 Parker-Hannifin Corporation High dielectric strength thermal interface material
US5860779A (en) * 1997-11-26 1999-01-19 Mcdonnell Douglas Corporation Locking nut
US6131646A (en) 1998-01-19 2000-10-17 Trw Inc. Heat conductive interface material
US6220607B1 (en) 1998-04-17 2001-04-24 Applied Materials, Inc. Thermally conductive conformal media
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6379491B1 (en) 1998-10-30 2002-04-30 Promos Technologies, Inc. Plasma chamber with erosion resistive securement screws
US6165612A (en) 1999-05-14 2000-12-26 The Bergquist Company Thermally conductive interface layers
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6295900B1 (en) * 1999-10-06 2001-10-02 Mcgard, Inc. Fastener constructions
US6496373B1 (en) 1999-11-04 2002-12-17 Amerasia International Technology, Inc. Compressible thermally-conductive interface
US6403910B1 (en) 1999-12-14 2002-06-11 Hi-Tek Manufacturing, Inc. EDM apparatus and method for performing EDM operation
US6343647B2 (en) 2000-01-11 2002-02-05 Thermax International, Ll.C. Thermal joint and method of use
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6475933B1 (en) 2000-01-27 2002-11-05 Northrop Grumman Corporation Highly conductive elastomeric sheet
JP3990867B2 (ja) 2000-01-31 2007-10-17 キヤノン株式会社 堆積膜形成装置および堆積膜形成方法
EP1264126B1 (en) 2000-03-06 2007-12-05 Interface Solutions, Inc. Gaskets with controlled flange surface adhesion properties
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP2001287135A (ja) 2000-04-04 2001-10-16 Horkos Corp 工作機械用工具ホルダ並びにこの工具ホルダに用いる刃具及び、この工具ホルダに用いるツールドライバ
JP2002093777A (ja) 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
JP3353149B2 (ja) 2000-08-28 2002-12-03 ホーコス株式会社 工作機械の主軸装置
EP1317221B1 (en) * 2000-08-30 2009-07-08 Nobel Biocare AB Impression cap
US6872281B1 (en) 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6651736B2 (en) 2001-06-28 2003-11-25 Intel Corporation Short carbon fiber enhanced thermal grease
US20030106644A1 (en) 2001-07-19 2003-06-12 Sirkis Murray D. Electrode apparatus and method for plasma processing
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20050127329A1 (en) 2001-08-17 2005-06-16 Chyi-Shan Wang Method of forming nanocomposite materials
US6685412B2 (en) * 2001-10-19 2004-02-03 Cross Medical Products, Inc. Multi-lobe torque driving recess and tool in particular for an orthopedic implant screw
JP4102873B2 (ja) 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
JP3868341B2 (ja) 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
US7208192B2 (en) 2002-05-31 2007-04-24 Parker-Hannifin Corporation Thermally or electrically-conductive form-in-place gap filter
JP3714924B2 (ja) 2002-07-11 2005-11-09 東京エレクトロン株式会社 プラズマ処理装置
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP2006521212A (ja) 2003-01-23 2006-09-21 ウィリアム・マーシュ・ライス・ユニバーシティ スマート材料:ナノチューブ検知システム、ナノチューブ検知複合材料、およびナノチューブ検知デバイスによる歪みの検知と応力の測定
US7153388B2 (en) 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7205050B2 (en) 2003-06-09 2007-04-17 Permatex, Inc. Low shear adhesion RTV silicone
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US7137444B2 (en) 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
IL160145A0 (en) 2004-01-29 2004-06-20 Univ Ben Gurion Method for the preparation of dispersions of carbon nanotubes
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
CN1669796B (zh) 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7348366B2 (en) 2005-04-07 2008-03-25 Freudenberg-Nok General Partnership High temperature elastomers with low hydrocarbon vapor permeability
KR100745971B1 (ko) 2005-04-08 2007-08-02 정경철 7개 규격의 시력교정용 핀홀렌즈 세트
JP4506557B2 (ja) 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
KR100629358B1 (ko) 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US20060288934A1 (en) 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
JP4819411B2 (ja) 2005-06-22 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
US8770902B1 (en) * 2005-07-12 2014-07-08 Elijah Tooling, Inc. Precision locating fastening system
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
TWI312434B (en) 2005-08-19 2009-07-21 Au Optronics Corporatio A fan-out structure for a flat panel display
JP2007067150A (ja) * 2005-08-31 2007-03-15 Shin Etsu Chem Co Ltd プラズマ処理装置用のシャワープレート及びプラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
JP4777790B2 (ja) 2005-09-29 2011-09-21 東京エレクトロン株式会社 プラズマ処理室用構造物、プラズマ処理室、及びプラズマ処理装置
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
JP2008103589A (ja) 2006-10-20 2008-05-01 Tokyo Cathode Laboratory Co Ltd 半導体処理装置用シャワーヘッド及び半導体処理装置のシャワーヘッドに用いられる表側電極板
US7806413B2 (en) 2006-11-08 2010-10-05 Federal-Mogul Corporation Static gasket
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
KR101508026B1 (ko) 2007-10-31 2015-04-08 램 리써치 코포레이션 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈
US8628268B2 (en) 2008-03-14 2014-01-14 Lam Research Corporation Cam lock electrode clamp
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1977068A (zh) * 2003-12-23 2007-06-06 兰姆研究公司 用于等离子加工装置的喷淋头电极组件

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2008-103589A 2008.05.01

Also Published As

Publication number Publication date
JP2012521638A (ja) 2012-09-13
KR101651457B1 (ko) 2016-08-26
TW201127217A (en) 2011-08-01
TWI492672B (zh) 2015-07-11
SG173904A1 (en) 2011-09-29
TWI659673B (zh) 2019-05-11
TW201538037A (zh) 2015-10-01
KR20110134424A (ko) 2011-12-14
WO2010111055A2 (en) 2010-09-30
JP5986503B2 (ja) 2016-09-06
US8187414B2 (en) 2012-05-29
US9023177B2 (en) 2015-05-05
US20100038033A1 (en) 2010-02-18
WO2010111055A3 (en) 2011-01-13
CN102356700A (zh) 2012-02-15
US20120193449A1 (en) 2012-08-02

Similar Documents

Publication Publication Date Title
CN102356700B (zh) 固定嵌入部、电极组件和等离子处理室
JP5450427B2 (ja) シャワーヘッド電極アセンブリ及びそれを組み入れたプラズマ処理チャンバ
CN101715605B (zh) 采用导热垫圈和o形环的电极总成和等离子处理室
TWM402580U (en) Outer electrode of showerhead electrode assembly
WO2009117181A1 (en) Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US20180281084A1 (en) Front-loaded, side-activated modular drill
CN103128351A (zh) 具有用于减小应力的凹座特征的切削刀具
KR102577080B1 (ko) 체결 시스템 및 추출 플레이트 어셈블리
CN101442872B (zh) 电极组件以及包含该电极组件的等离子处理室
KR20090041132A (ko) 플라즈마 챔버 캐소드의 볼트 체결구 및 그 체결방법
KR20180038141A (ko) 제작 및 보수가 용이한 이송 스크루
EP3702076A1 (en) Cutter holder structure
WO2023121772A1 (en) Fastening assembly for beam blocker in ion processing apparatus
KR200285340Y1 (ko) 방전가공기의 전극 고정장치
KR20090083579A (ko) 웨이퍼 홀더
UA75982C2 (en) Core drill

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant