CN102160188B - 无机体相多结材料及其制备方法 - Google Patents

无机体相多结材料及其制备方法 Download PDF

Info

Publication number
CN102160188B
CN102160188B CN200980137196.6A CN200980137196A CN102160188B CN 102160188 B CN102160188 B CN 102160188B CN 200980137196 A CN200980137196 A CN 200980137196A CN 102160188 B CN102160188 B CN 102160188B
Authority
CN
China
Prior art keywords
nanocrystal
composite
semiconductor
semiconductor substrate
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200980137196.6A
Other languages
English (en)
Other versions
CN102160188A (zh
Inventor
T·汉拉兹
J·R·恩斯特伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cornell Research Foundation Inc
Original Assignee
Cornell Research Foundation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cornell Research Foundation Inc filed Critical Cornell Research Foundation Inc
Publication of CN102160188A publication Critical patent/CN102160188A/zh
Application granted granted Critical
Publication of CN102160188B publication Critical patent/CN102160188B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035272Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions characterised by at least one potential jump barrier or surface barrier
    • H01L31/035281Shape of the body
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B19/00Selenium; Tellurium; Compounds thereof
    • C01B19/007Tellurides or selenides of metals
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G21/00Compounds of lead
    • C01G21/21Sulfides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0312Inorganic materials including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/70Crystal-structural characteristics defined by measured X-ray, neutron or electron diffraction data
    • C01P2002/72Crystal-structural characteristics defined by measured X-ray, neutron or electron diffraction data by d-values or two theta-values, e.g. as X-ray diagram
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/03Particle morphology depicted by an image obtained by SEM
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/04Particle morphology depicted by an image obtained by TEM, STEM, STM or AFM
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/60Particles characterised by their size
    • C01P2004/64Nanometer sized, i.e. from 1-100 nanometer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

本发明揭示一种纳米结构的复合材料,该复合材料包含在晶体半导体基质中的半导体纳米晶体。合适的纳米晶体包括硅、锗和硅‑锗合金,以及铅盐如PbS、PbSe和PbTe。合适的晶体半导体基质材料包括Si和硅‑锗合金。本发明还揭示一种制备纳米结构的复合材料的方法。本发明还揭示包含纳米结构的复合材料的装置。

Description

无机体相多结材料及其制备方法
相关申请的交叉引用
本申请要求于2008年8月8日提交的美国临时专利申请第61/087,455号的优先权,其全部内容通过参考结合于此。
关于联邦政府资助的研究的声明
本发明按照由国家科学基金授予的授权号CBET 0828703在政府资助下完成。政府享有本发明的某些权利。
发明领域
本发明一般涉及光伏装置和热电装置的领域,更具体涉及用于生产太阳能电池的复合材料。
发明背景
目前超过95%的市售太阳能电池是基于硅。尽管硅元素非常丰富,而且硅光伏技术也很成熟和有效,但是这些太阳能电池与其它能源相比在经济上并没有竞争力。最近,生产能力的急剧提高已经稳定地降低了硅基太阳能电池的生产成本,但是这种趋势的延续显示常规光伏技术并不能对快速增长的全球能量需求起到明显贡献。
用于制备太阳能电池的硅晶片在太阳能电池成本中所占的份额约为65%。已经做了很多努力通过生产更薄的电池或使用更便宜的更低质量的(多晶)硅来降低材料的成本。在这两种情况中,降低材料成本带来的净效益由于太阳能电池效率的明显降低而变得毫无意义。多晶硅太阳能电池的低效率是因为光生载流子的低迁移性,限制了到达外部电极的载流子的数量。因此,目前仍然需要可放大的技术来将太阳能和/或热能有效地转化为电能。
发明概述
本发明提供了一种新的材料结构(material architecture)。一方面,本发明提供了一种纳米结构的复合材料,该材料包含在半导体基质中的半导体纳米晶体(NC)(例如Si、Ge、Si-Ge合金、PbS、PbSe、PbTe等)。制备该复合材料,使得该纳米晶体的结构和性质得以保持,即纳米晶体可辨别,并且在复合材料中具有有序的排列。
另一方面,本发明提供一种制备纳米结构的复合材料的方法。在一个实施方式中,制备纳米晶体复合材料的方法包括以下步骤:(a)在基材上形成预复合材料层(该材料包含无定形半导体基质,在该基质中结合有半导体纳米晶体(所述结合的例子包括但不限于包封和/或嵌入);(b)使步骤(a)的材料处在结晶条件下,使得无定形半导体基质材料结晶,半导体纳米晶体表现出晶体结构特有的性质,从而形成纳米晶体复合材料。
纳米结构的复合材料可用于实现无机体相(bulk)异质结(例如Si/Ge或Si/PbSe)或体相同质结光伏和/或热电电池。使用本发明的光伏/热电电池的装置可用于一些应用,例如但不限于可再生能源收集(例如太阳能)和热管理(例如废热回收)。
附图简要说明
图1:在溅射沉积a-Si顶层之前(A)和之后(B)的PbSe NC单层的SEM图像;(C)在接触激光退火条件的基质之后的Si晶片上的PbSe/a-Si复合材料的照片;(D和E)PbSe/多晶硅复合材料的相应小角和宽角x射线衍射;在底部(顶部)显示了PbSe(Si)的特征x射线衍射映像。
图2:PbSe NC膜的GISAXS图案。(A)初始无序的NC膜,和(B)溶剂气相退火后相同膜中的高空间相干性。
图3:制备无机体相多结太阳能电池的工艺步骤的图示说明。
图4:可能的体相多结(BMJ)太阳能电池构造的图示说明。(A)有序的纳米晶体BMJ,(B)无序的纳米晶体BMJ,(C)有序的纳米线BMJ和(D)无序的纳米线BMJ。
图5:BMJ工作原理的图示说明。(A)光子吸收,激发子解离和电荷传输。PbSe纳米晶体的TEM图像。(B)供体-受体能级排列,(C)多激发子生成(MEG)。
图6:多模光伏/热电装置结构的示意图。入射光子转化为电子/空穴对,同时声子在纳米结构的界面处强烈散射。
发明详述
本发明提供了一种新的材料结构。本发明还提供一种制备纳米结构的复合材料的方法。
一方面,本发明提供了一种纳米结构的复合材料,该材料包含在半导体基质中的半导体纳米晶体(NC)(例如Si、Ge、Si-Ge合金、PbS、PbSe、PbTe等)。制备该复合材料,使得该纳米晶体的结构和性质得以保持,即纳米晶体可辨别,并且在复合材料中具有有序的排列。
在一个实施方式中,纳米晶体复合材料包含大量结合在晶体半导体基质中的半导体纳米晶体,大部分纳米晶体在复合材料中具有有序排列。在另一个实施方式中,复合材料中纳米晶体的晶体结构和光学性质与无基质存在下的半导体纳米晶体的晶体结构和光学性质相同或相似。
半导体纳米晶体是纳米结构的复合材料的组分,在该复合材料中实现光能和/或热能向电荷载流子的转化。NC优选具有电子性质,例如但不限于:(1)有效光捕获所需的高吸收截面;强量子限制效应,以提供所需的自由度,从而尺寸调节(size-tune)太阳能发射光谱最佳吸收所需的光学性质;(2)相邻NC之间的强电子耦合,从而实现有效的电荷传输,同时钝化表面以防止界面电荷重组;(3)对于热电转换,致密的边界以增强声子散射并最小化热传导,从而获得高ZT热电性质。应使用能实现尺寸、形状和组成控制的方法合成NC。
各种NC组成具有用于本发明所需的性质。可用于本发明的NC组成的例子包括但不限于III-V和II-VI化合物半导体,例如Si,Ge,SiGe合金。其它例子包括但不限于铅盐,例如PbS,PbSe,PbTe。例如,在本发明中可使用商购的NC或独立合成的NC。
在本发明中可使用任何形状的NC。例如,球形NC是合适的。也可以使用其它形状,例如棒,线,四角锥体,立方体,薄片。可使用一维结构(线),优点是它们能提供在一个方向上的电荷传输所需的连接。
尺寸在2-30纳米范围内(包括2纳米和30纳米之间的所有整数)的NC适用于本发明。颗粒可以是球形或准球形的(例如截顶的八面体)。对于球形或准球形颗粒,颗粒的尺寸是最长维度上的尺寸。对于其它颗粒形态,颗粒的尺寸应使得至少一个维度上的尺寸在2-30纳米之间。NC应具有相对尺寸分布(标准偏差/平均尺寸),这样可以形成有序结构。例如,标准偏差<10%的平均尺寸能形成有序结构。对于非球形结构(例如立方体),优选的是减小相对尺寸分布。例如,可使用2-30纳米的PbS和PbSe NC。
半导体纳米颗粒的带隙应使得纳米颗粒能吸收可转化为电能的入射能。例如,由于铅盐中激发子的玻尔(Bohr)直径较大,所以这些盐的能隙可以从0.4eV尺寸调节到接近2eV,使太阳能转化能延伸到近红外的区域中。能量大于该带隙的光子也可以被吸收和转化。例如,在铅盐NC颗粒的情况中,可以调节尺寸,使能量大于该带隙的光子也能转化。
使用本发明的材料通过将热梯度(在包含本发明纳米结构的复合材料的装置结构上的热梯度)转化为电位梯度来将热能转化为电能。不旨在受限于任何具体的理论,认为伴随光致激发的多模装置(包含光伏和热电能量转化)能进一步增强热电能量转化效率。
在一个例子中,使用PbSe纳米晶体。这些纳米晶体的一个例子示于图1中。这些纳米晶体的尺寸在2-10纳米的范围内。由于PbSe的玻尔激发子直径较大(46纳米),该尺寸范围产生1.4-0.4eV的纳米晶体能隙。该能隙使得在近红外波长范围内能发生太阳能转化。
不旨在受限于任何具体的理论,较大的玻尔直径对于克服量子限制(以产生所需的尺寸调节性质)和′非限制(un-confmement)′(以使电荷从光生点有效传输到外部电极)之间明显的矛盾起到重要作用。当与化学处理组合来调节颗粒间间距时,强波动函数重叠转化为可调节的最近NC电子耦合,提高了NC膜的电导率。
在本发明的另一个实施方式中,在多晶Si基质中结合SiGe合金纳米晶体可用于制备中带光伏/热电电池。
半导体基质材料传导半导体纳米晶体产生的载流子,并且在激光退火过程中提供结构支承。对基质加以选择,提供较高的载流子迁移率和浓度。例如,可调节基质材料为p型和/或n型导体。一般而言,纳米结构的复合物中的半导体基质材料以结晶形式存在。可以使用任何能经过激光退火形成晶体基质材料的半导体材料。合适的晶体基质材料的例子包括但不限于晶体硅和Si1-xGex
可在已经沉积了纳米晶体的基材上沉积半导体基质材料。或者,可将半导体基质材料的前体材料与活性纳米晶体组合,得到的材料涂布在基材上,该前体材料转化为半导体基质材料。
在本发明中可使用具有能被涂覆上半导体纳米晶体和/或半导体基质材料(或半导体前体材料)薄膜的表面(例如,合适的表面粗糙度和表面能)的任何基材。在一个例子中,基材是导电或半导电的。基材应足够稳定,能承受热退火条件或激光退火条件。例如,可使用基于聚合物的挠性基材。另一个例子是使用硅晶片作为基材。
纳米颗粒在复合材料中具有有序排列。“有序”定义为长程空间相干性(例如,移动有序性和/或取向有序性)。例如,如果NC直径分布足够窄,则NC经历′自组装′。形成有序结构背后的另一驱动力是NC偶极。例如,由于各NC的Pb和Se终止的{111}面的不均匀分布产生偶极。不受限于任何具体的理论,认为纳米颗粒的偶极矩将影响复合材料结构的有序性。例如,PbSe纳米晶体具有强偶极矩,认为通过具有非密堆积的简单六方对称的NC膜的取向连接和组装,这种偶极矩特征将导致(例如,通过偶极-偶极耦合)形成有序的高度各向异性的纳米结构(例如,线或无序的网状结构)。
不旨在受限于任何具体理论,认为使用激光退火由各PbSe NC基本结构单元形成具有更复杂的几何结构的三维结构,在纳米晶体邻接位置提供接触点(用于电荷传输)。
复合材料中的纳米颗粒具有可辨别的晶体结构。复合材料中的纳米颗粒的结构和性质基本上与用于生产复合材料的纳米颗粒的结构和性质相似。可使用宽角和小角x射线散射/衍射确定复合材料中纳米晶体的结构和空间相干性。例如,小角(或宽角)x射线散射/衍射数据证实结构相似性,表明复合材料的晶体半导体基质中的纳米晶体的性质(例如晶体结构和尺寸)符合用于生产复合材料的纳米晶体的特征。在一个例子中,宽角x射线散射的宽度和位置没有变化表明纳米晶体未改变。作为另一个例子,纳米颗粒基本类似,因为激发子吸收特征(在光学吸收光谱中)依赖于尺寸,这是用于生产复合材料的纳米晶体的特征的表现。在一个例子中,颗粒尺寸减小将导致激发子吸收峰的蓝移。在另一个例子中,吸收峰变宽相当于NC尺寸分布变宽。
在复合材料中,NC-基质边界是直接的无机-无机界面。对于尽可能减少电荷重组,这是更有利的。例如,可以胶体悬浮液形式制得纳米晶体,其中NC表面被有机配体钝化。复合材料的制备形成直接的无机-无机界面,依赖于尺寸的光学性质未改变。
可通过材料的晶粒结构评价半导体基质材料的结晶度。例如,对于硅基质材料,WAXS显示纳米晶体保持为晶粒尺寸大致相当于NC直径(6纳米)的晶体。可根据激光条件调节Si基质的晶粒尺寸。例如,硅基质的晶粒尺寸在8-20纳米的范围内。
NC与基质的比值可以高达0.74(体积分数)。该体积分数对应于球形颗粒的密堆积结构。对于其它对称结构,体积分数可以略低(例如,对于体心对称结构为0.68)。体积分数可以低至0.2。对于球形颗粒,更低的值大约是球形颗粒的逾渗阈值。应认为通过偶极相互作用的球的体积分数可以低至0.2。在一个实施方式中,NC与基质的比值(体积分数)为0.2-0.74,包括所有小数点后一位和两位的小数部分。在各种其它实施方式中,NC与基质的比值(体积分数)是0.3、0.4、0.5、0.6和0.7。希望所具有的体积分数应使得纳米晶体与至少一个相邻的纳米晶体发生电接触(例如,连接)。不旨在受限于任何具体的理论,认为使纳米晶体互相连接能获得较高的复合材料的能量转换效率。
在一个实施方式中,复合材料中的大部分纳米晶体非常接近,以致于它们能电接触。例如,纳米晶体之间的物理接触能产生电接触。在另一个实施方式中,复合材料中的多数纳米晶体非常接近,以致于这些纳米晶体处于电接触的状态。在各种其它实施方式中,60%、70%、80%、90%、95%和99%的纳米晶体非常接近,使得它们能电接触。
复合材料层的厚度可以为20-400纳米(包括在20-400纳米之间的所有整数)。在不同实施方式中,复合材料层的厚度为20、30、40、50、60、70、80、90、100、125、150、175、200、225、250、275、300、350和400。可通过改变合成和沉积条件来控制厚度。
预期本发明的材料结构将解决由低成本多晶半导体制备的太阳能电池中的低迁移率问题。
另一方面,本发明提供一种制备纳米结构的复合材料的方法。在一个实施方式中,制备纳米晶体复合材料的方法包括以下步骤:(a)在基材上形成预复合材料层(该材料包含无定形半导体基质,在该基质中结合有半导体纳米晶体(所述结合的例子包括但不限于包封和/或嵌入);(b)使步骤(a)的材料处在结晶条件下,使得无定形半导体基质材料结晶,半导体纳米晶体表现出晶体结构特有的性质,从而形成纳米晶体复合材料。
在一个实施方式中,首先在基材上沉积纳米晶体,然后形成无定形半导体基质,由此形成预复合材料层。首先将半导体纳米晶体和无定形半导体基质材料的前体混合,然后将该混合物沉积在基材上,由此形成预复合材料层。还可以通过以下方式形成无定形半导体基质:(1)沉积前体材料,然后使前体材料转化为无定形半导体材料,或(2)沉积无定形半导体材料。
例如,可按照图2所示制备本发明的纳米结构的复合材料。将半导体纳米晶体沉积在基材上(例如,通过常规方法由溶液形成薄膜,所述常规方法例如但不限于是旋涂、液滴浇注(drop casting)、喷墨印刷或刮刀涂布)。半导体纳米晶体的形式为胶体悬浮液。然后,可任选地对纳米晶体进行物理或化学处理,以确保光生载流子的高迁移率。
化学处理的例子涉及用较短分子(例如短链硫醇或胺)替换原始的油酸配体。物理处理的例子包括但不限于UV/臭氧和等离子体处理。在纳米晶体包含油酸的情况中,物理处理可除去油酸(例如,通过油酸分子的降解)。这种处理的其它例子包括使用硫醇(例如丁硫醇)、二硫醇(例如1,2-乙二硫醇)、肼、胺(例如丁胺或吡啶)和醇(例如乙醇)进行溶液相配体交换。不旨在受限于任何具体的理论,认为醇只能置换油酸配体,实际上并不像其它例子中的情况一样与NC表面结合。
在一个实施方式中,本发明容易通过表面钝化技术(例如化学气相沉积(CVD)或原子层沉积(ALD))形成界面,以使纳米晶体表面钝化。认为表面钝化技术可产生高光电流和有效的界面电荷传输。
在一个实施方式中,对沉积的纳米晶体进行溶剂气相退火。例如,使沉积的纳米晶体接触辛烷蒸气。不旨在受限于任何具体的理论,认为溶剂气相退火可明显增强沉积的纳米晶体的长程移动和取向有序性。如图3所示。
在之后的步骤中,在基材上可形成半导体基质材料(例如,作为薄膜)。可在已经沉积了纳米晶体的基材上沉积基质材料,或者在膜上沉积前体,使前体转化为基质材料。或者,可将半导体基质材料的前体与纳米晶体组合,得到的材料涂布在基材上,使该前体材料转化为半导体基质材料。
在一个实施方式中,将半导体基质材料的流体前体引入以填充第一层的间隙,从而完全包封纳米晶体或使纳米晶体嵌入其中。可通过多种手段实现纳米晶体阵列的包封或嵌入。例如,可由蒸气、液体或超临界流体相沉积前体。使用超临界流体的一个重要益处是不存在表面张力效应,使得溶解的前体能渗入下面的纳米晶体层中的所有空隙空间中。
在另一个实施方式中,可将光子收集成分(例如半导体纳米晶体)和导电基质(例如液体半导体前体)组合,通过上述方法作为一种溶液沉积。在此实施方式中,处理步骤的总数减少,可对纳米晶体形成更好的包封。
在另一个处理步骤中,对沉积的前体材料进行物理和/或化学处理,以使液体半导体前体转化为固体导电基质。一般而言,半导体基质材料作为无定形材料形成(即在材料中不再观察到长程有序性)。例如,在环戊硅烷前体材料的情况中,这些步骤包括光引发聚合,然后是热退火和激光诱导结晶。这些步骤形成包封纳米晶体的多晶半导体基质。也可使用上述方法通常合理选择前体溶液来沉积其它半导体基质材料(包括例如Ge,SixGe1-x等)。
例如,可使用液体半导体前体沉积硅半导体基质,所述液体半导体前体例如但不限于是有机硅烷(例如环戊硅烷)。例如,晶粒尺寸和迁移率分别在约200纳米和100厘米2-伏-秒-1的多晶Si膜可通过以下方式制备:沉积环戊硅烷,通过环戊硅烷(c-Si5H10)的光引发开环聚合形成聚硅烷,然后进行热退火(300-400℃),使大部分氢释放,形成无定形硅。在最后一步中,使用准分子激光器使硅结晶,形成基本上纯的多晶硅薄膜。
在一个实施方式中,不沉积前体材料,而是(例如)使用基于真空的技术来沉积无定形形式的半导体基质,所述技术例如但不限于是热蒸发,原子层沉积,化学气相沉积或溅射。
完全包封光子收集材料如半导体纳米晶体可以减少与一些纳米晶体相关的使用期限结束时毒性问题。(例如,嵌入的PbSe和无机基质是环境友好的,而嵌入聚合物基质中的相同纳米晶体容易在它们的使用期限结束时渗出。)
在形成无定形半导体基质后,可使该基质材料结晶。进行结晶,使得纳米晶体的形态无任何劣化,或者尽可能少的劣化。通过x射线散射/衍射数据确定纳米晶体的尺寸和晶体结构,由此证实纳米晶体的结构未变化,以及/或者通过光学吸收光谱中的尺寸依赖性激发子吸收特征证实纳米晶体的性质未变化。
例如,可通过激光表面辐照进行结晶。例如,使用XeCl准分子激光器(λ=308纳米,FWHM=35纳秒)在足以诱导表面熔化的能流下(例如200-1000毫焦/厘米2)进行脉冲激光表面辐照。不旨在受限于任何具体理论,认为脉冲激光表面辐照导致在激光脉冲持续时间(20纳秒)内深达500纳米的熔化,然后随着热在基材中传导迅速凝固(通常50-200纳秒)。在此情况中,由于时间很短,固相动力学受到抑制,可混材料的液相混合几乎完成,不可混液相的动力学被严重限制。
还可以使用较长的时间量程(例如几十微秒到几毫秒)在接近基质熔化温度的温度下进行结晶,但是保持基质材料为固相。例如,功率水平为100-250瓦的连续波激光器(例如CO2(λ=10.6微米))或光纤耦合二极管激光器二极管(λ=980纳米)。不旨在受限于任何具体的理论,认为纳米晶体经晶粒精制形成较大颗粒的情况不会发生。
另一方面,本发明还提供使用本文揭示的方法制备的产品。
另一方面,本发明提供一种由纳米结构的复合材料构成的光伏电池装置。在一个实施方式中,在两个导电层之间设置包含纳米结构的复合材料的光伏电池装置。
另一方面,本发明提供一种包含纳米结构的复合材料的多模光伏/热电电池装置。在一个实施方式中,多模装置包括设置在两个导电层之间的相邻的p型(空穴传导)和n型(电子传导)畴(各包含纳米结构的复合材料)。图4显示多模光伏/热电装置的示意图。
不旨在受限于任何具体的理论,认为光致激发能增强热电能量转换。这种增强可能是由于以下原因:在纳米结构的界面处更有效的声子散射,更有效的电子传输(包括高载流子迁移性和浓度),以及本发明的纳米结构的复合材料的量子限制。
例如,鉴于高吸收截面和低体积平均载流子密度(0.002/4.3纳米直径NC,相当于约1015厘米-3),认为PbSe NC复合材料能表现出光致激发增强的热电能量转换。
对于一级近似,我们可以通过Se=±(kBq-1)(2+ln(Ni/ni))预测典型半导体中光致激发对热功率的影响,其中负号是用于电子,正号是用于空穴;Se是赛贝克系数,Ni是带中状态的有效密度;ni是自由载流子的密度。如果同时考虑电子和空穴,光致激发对热功率的影响可以抵销。另一方面,如果传输是电子或空穴占主导,则光致激发将增加ni,降低热功率。但是,在实验中,观察到光致激发能提高p型硅中的热功率。模型与实验之间的差异是因为过于简化的电荷均匀传输和玻尔兹曼分布的假设。在纳米结构的半导体中,预期这种差异更明显,许多之前的研究已经表明独立式嵌入型纳米结构中的电荷传输对于表面效应非常敏感。这些发现有力地支持了本发明的基于PbSe NC的复合材料中出现类似的反常的光-热电效应的预期。
在本发明的另一个实施方式中,依次进行下文概括的处理步骤并结合合适的重组层可用于制备多节光伏/热电电池,该电池包括具有阶式能隙的基于纳米晶体的活性层。
根据用于第一层的纳米晶体或微晶半导体的特点,本发明可以制备各种无机异质结和同质结太阳能电池。图5显示了四种可能的选择。
纳米结构的复合材料可用于实现全无机体相异质结(例如Si/Ge或Si/PbSe)或体相同质结光伏和/或热电电池。使用本发明的光伏/热电电池的装置可用于一些应用,例如但不限于可再生能源收集(例如太阳能)和热管理(例如废热回收)。
为了实现确实有潜力的BMJ太阳能电池,必需符合三个关键标准:(1)复合材料的能级必须有利地排列,以促进光生激发子在界面处解离为自由电荷,(2)激发子解离和电荷传输的动力学必须比它们重组的速度更快,(3)混合型材料的形态必须能提供激发子解离所需的高界面面积,同时为各电荷提供向它们对应的外电极传输的连续的传输路径。所有三种标准对于界面的化学和物理性质非常敏感。
本发明的装置结构成功地解决了这三个标准。图6描述了BMJ太阳能电池的工作原理。图6A显示光子如何被纳米晶体吸收,又如何分裂为电子-空穴对。电荷在纳米晶体/基质界面处分离,然后传输到它们对应的电极。电子供体(D)和电子受体(A)的能级排列说明激发子在界面处解离所需的能量要求(图6B)。图6C说明了多激发子生成(MEG)-半导体纳米晶体将高能光子转化为多个电子-空穴对的独特能力。
本发明具有多个独特特征,包括:
1.固态无机半导体光伏/热电电池,由能利用溶液的低成本高产量工艺技术制备。
2.低成本薄膜光伏/热电电池,其中避免了在活性层中使用不稳定的有机组分。该构造提供优异的光稳定性,可以制得寿命与常规硅太阳能电池(约20年)类似的太阳能电池。相反,基于聚合物的太阳能电池的寿命由于固有的光敏性聚合物而受到严重限制(<约2年)。
3.本发明提供将半导体纳米晶体完全包封在具有互补的电子性质的半导体基质中的装置平台。该界面的电子性质明显优于基于聚合物的混合型太阳能电池中有机/无机界面的电子性质。
a.增强的界面性质提供一种完全利用包封纳米晶体的独特光子收集性质的手段。得益于有效且快速的光生电荷界面转移的两个特别重要的选择是:
i.多激发子太阳能电池。多激发子生成将单个入射太阳能光子转化为多个电子-空穴对,通往效率超越单带隙半导体的糟糕的Shockley-Queisser限制(约32%)的太阳能电池的大门被打开。已经在包括PbSe、PbTe、CdSe、InAs和最近的Si在内的半导体纳米材料范围内观察到该过程。
ii.热载流子太阳能电池。在光生电荷驰豫回到它们各自的带缘处之前截取这些光生电荷能回收它们的全部动能,否则这些动能将作为热能丧失。
b.本发明能适应多种材料组合。以下详细说明描述了多晶Si基质与Si、Ge、PbSe或PbTe纳米晶体的组合。这样很容易推广到其它低成本纳米晶体或微晶半导体材料体系,只要组成材料的能级排列支持应用于太阳能转换所需的有利的电荷分离。
4.在各种实施方式中,本发明是基于低温溶液工艺方法,该方法能使用低成本基材,明显降低光伏/热电电池模块的体系成本中的基础部分。
5.在各种实施方式中,本发明是基于低温溶液工艺方法,该方法能应用于挠性基材,因此能采用低成本卷装进出(roll-to-roll)工艺。
6.除了整合到光伏/热电装置中以外,能使纳米级半导体材料有效形成界面的能力对于各应用而言也非常重要。(例如,本发明的方法和材料可用于生产混合型发光二极管,基于纳米晶体的电子系统,储能,等。)
本发明的方法和材料可用于由低成本材料,利用光伏/热电电池的溶液基工艺和光伏/热电电池的卷装进出工艺在挠性基材上制造高效太阳能电池。
以下实施例用来进一步说明本发明,但不以任何方式限制本发明的范围。
实施例1
纳米晶体合成:依据略微改进的热注方法合成胶体PbSe NC。
薄膜工艺:最佳胶体NC沉积方法取决于各种因素。尽管旋转浇注是大多数有机薄膜选择的方法,但是具有平整表面和高空间相干性的均匀NC膜的形成适宜采用其它方法,包括朗缪尔成膜法(Langmuir films),液滴浇注,浸涂,或在倾斜基材上缓慢蒸发。这些技术提供对更宽范围的溶剂蒸发速率的控制,并且比常常伴随NC成膜工艺的其他溶液基工艺方法更相容。
使用两种补充方法来制备由包封在无定形Si基质中的PbSe NC构成的薄膜。在第一种方法中,由胶体悬浮液沉积NC单层,然后溅射沉积无定形硅(a-Si)或硅-锗合金(a-SiGe)膜,以包封纳米晶体层。在第二种方法中,使用线性级对流性组装技术(linear-stage convective assembly technique)沉积胶体NC悬浮液(在环戊硅烷中),该技术特别有吸引力,是因为它既能控制空间相干性,还有希望通过悬浮液的粘性拖曳实现纳米结构的线性排列。
包封和基质结晶:通过常规热退火使a-Si/a-Ge基质结晶所需的条件(例如,在>400℃数小时)可能导致NC形态劣化。相反,我们使用激光退火来使基质结晶,这样提供严格控制基质和/或纳米颗粒熔化和结晶的动力学方面所需的实验自由度。通过计算预测熔化和扩散的动力学可用于对激光器脉冲持续时间和强度进行系统性调节,从而控制结晶过程中扩散和互混的程度。
可以采用两种不同的结晶方案。在一种方案中,用XeCl准分子激光器(λ=308纳米,FWHM=35纳秒)在一定能流下(200-1000毫焦/厘米2)对表面进行脉冲激光辐照,引起表面熔化。在激光脉冲持续时间(20纳秒)内熔化进行到高达500纳米的深度,然后随着热量传导到基材中表面快速凝固(通常50-200纳秒)。在此方案中,固相动力学完全受到抑制(不够的时间),可混材料的液相混合几乎完成,不可混液相的动力学被严重限制。对于硅基质,NC在基质之前熔化将导致不可混的NC″液滴″最初在固体基质中,然后分散到熔融Si中。在凝固过程中,基质首先结晶,留下NC液滴,这些液滴随后在刚性基质中凝固。预计这样会由于表面张力以及基质和NC颗粒之间潜在的外延关系的作用形成几乎球形的NC颗粒。对于Ge基质,基质在NC颗粒之前熔化,留下分散在初始液体基质中的完全带有小平面的颗粒。在足以仅使基质熔化的能流下,NC颗粒将基本保持形状(有可能截顶的不对称结构)和结晶度。然后,基质可在NC颗粒周围结晶,有可能由NC晶种作为异质外延引晶。在较高的能流下,NC颗粒也会熔化,导致在Ge液体基质中不可混的NC液滴。在冷却过程中,NC颗粒将过冷,并且如果动力学允许的话,NC颗粒将首先结晶,然后Ge基质在较低的温度下结晶。对于SiGe合金,因为Si和Ge在整个两相组成范围内都能完全混合,所以合金适应两种极限情况之间的所有条件。对于脉冲激光熔化,有效的″熔化温度″(T0曲线)与1683K(Si)和1210K(Ge)之间的组成几乎成线性关系。因此,可以调节组成以匹配NC的(降低的)熔化温度。
该液相诱导的结晶产生最高质量的半导体基质,将完全包封NC晶种。另外,高温和Si或Ge熔体的反应性特征将完全除去包围NC的有机配体,留下纯NC结构。最后,通过控制熔化时间(通过基材温度和能流控制),可以控制NC颗粒的迁移,以形成互连的网状结构。
用于基材退火的第二种方案依赖于在熔化温度附近明显更长的时间量程(几十微秒到几毫秒),但是保持基材为固相。使用扫描CW激光器(CO2(λ=10.6微米)激光器或光纤耦合二极管(λ=980纳米)激光器)在100-250瓦的功率水平进行该方案。尽管类似于加热炉退火,但是CW激光退火时间足够短,使NC晶粒精制为较大颗粒的情况不会发生(对于10微秒方案肯定如此)。可以实现不到基质熔化温度的温度,在超过0.8Tm的温度下于亚毫秒(sub-ms)时间量程内实现Si和Ge材料的完全结晶。对于高温基质(Si),NC的完全熔化是可能的,随后凝固为几乎完美的晶体。

Claims (20)

1.一种制备纳米晶体复合材料的方法,该方法包括以下步骤:
a)在基材上形成预复合材料层,所述材料包含无定形半导体基质,在该基质中结合有半导体纳米晶体;和
b)使步骤a)的材料处于结晶条件下,使得无定形半导体基质结晶,半导体纳米晶体表现出晶体结构特有的性质,从而形成纳米晶体复合材料。
2.如权利要求1中所述的方法,其特征在于,步骤a)中形成预复合材料层的步骤通过以下方式进行:首先在基材上沉积纳米晶体,然后形成无定形半导体基质。
3.如权利要求1中所述的方法,其特征在于,步骤a)中形成预复合材料层的步骤通过以下方式进行:首先将半导体纳米晶体与无定形半导体基质材料的前体混合,然后将所述混合物沉积在基材上。
4.如权利要求2所述的方法,其特征在于,通过以下方式形成无定形半导体基质:沉积前体材料,然后使前体材料转化为无定形半导体材料。
5.如权利要求2所述的方法,其特征在于,通过沉积无定形半导体材料形成无定形半导体基质。
6.如权利要求1所述的方法,其特征在于,所述半导体纳米晶体的尺寸为2-30纳米。
7.如权利要求1所述的方法,其特征在于,所述半导体纳米晶体选自下组:硒化铅、硫化铅和锗。
8.如权利要求1所述的方法,其特征在于,所述无定形半导体基质包含选自下组的材料:硅、锗和硅-锗合金(Si1-xGex)。
9.如权利要求1所述的方法,其特征在于,通过激光退火使来自步骤a)的材料处于结晶条件下。
10.如权利要求1所述的方法,其特征在于,所述半导体纳米晶体以0.2-0.74的体积分数存在于基质中。
11.如权利要求1所述的方法,其特征在于,所述纳米晶体复合材料的厚度为20-400纳米。
12.一种按照权利要求1-11任一项所述方法制得的纳米晶体复合材料,其包含结合在晶体半导体基质中的多个半导体纳米晶体,其中大部分纳米晶体在复合材料中具有有序排列,使大部分纳米晶体具有移动有序性和/或取向有序性,且大部分纳米晶体接近,以致于纳米晶体之间物理接触。
13.如权利要求12所述的纳米晶体复合材料,其特征在于,所述半导体纳米晶体选自下组:硒化铅、硫化铅和锗。
14.如权利要求12所述的纳米晶体复合材料,其特征在于,所述半导体纳米晶体的尺寸为2-30纳米。
15.如权利要求12所述的纳米晶体复合材料,其特征在于,所述晶体半导体基质包含选自下组的材料:硅、锗和硅-锗合金(Si1-xGex)。
16.如权利要求12所述的纳米晶体复合材料,其特征在于,所述纳米晶体复合材料的厚度为20-400纳米。
17.如权利要求14所述的纳米晶体复合材料,其特征在于,所述晶体半导体基质包含硅,并且硅晶粒为8-20纳米。
18.如权利要求12所述的纳米晶体复合材料,其特征在于,至少大部分的纳米晶体中的各纳米晶体与相邻的纳米晶体电连接。
19.一种将光子和/或热能转化为电能的装置,其包括:至少两个间隔的电极:以及设置在所述两个间隔的电极之间的至少一个包含如权利要求12所述的纳米晶体复合材料的层。
20.如权利要求19所述的装置,其特征在于,所述纳米晶体复合材料包含硒化铅纳米晶体和硅基质。
CN200980137196.6A 2008-08-08 2009-08-10 无机体相多结材料及其制备方法 Expired - Fee Related CN102160188B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8745508P 2008-08-08 2008-08-08
US61/087,455 2008-08-08
PCT/US2009/053298 WO2010017555A1 (en) 2008-08-08 2009-08-10 Inorganic bulk multijunction materials and processes for preparing the same

Publications (2)

Publication Number Publication Date
CN102160188A CN102160188A (zh) 2011-08-17
CN102160188B true CN102160188B (zh) 2016-10-26

Family

ID=41664006

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980137196.6A Expired - Fee Related CN102160188B (zh) 2008-08-08 2009-08-10 无机体相多结材料及其制备方法

Country Status (3)

Country Link
US (1) US20110220874A1 (zh)
CN (1) CN102160188B (zh)
WO (1) WO2010017555A1 (zh)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130298989A1 (en) * 2010-12-10 2013-11-14 Teijin Limited Semiconductor laminate, semiconductor device, method for producing semiconductor laminate, and method for manufacturing semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130087747A1 (en) * 2011-10-07 2013-04-11 Evident Technologies Quantum Confined Thermoelectric Compositions
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102800802A (zh) * 2012-07-20 2012-11-28 南京航空航天大学 环境能量转化装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20150357540A1 (en) * 2013-01-29 2015-12-10 University Of Rochester Heat engine and method for harvesting thermal energy
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10283691B2 (en) 2013-02-14 2019-05-07 Dillard University Nano-composite thermo-electric energy converter and fabrication method thereof
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015031835A1 (en) 2013-08-29 2015-03-05 University Of Florida Research Foundation, Inc. Air stable infrared photodetectors from solution-processed inorganic semiconductors
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
WO2017091269A2 (en) * 2015-08-31 2017-06-01 The Board Of Regents Of The University Of Oklahoma Semiconductor devices having matrix-embedded nano-structured materials
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10316403B2 (en) 2016-02-17 2019-06-11 Dillard University Method for open-air pulsed laser deposition
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7279632B2 (en) * 2004-02-25 2007-10-09 President Of Tohoku University Multi-element polycrystal for solar cells and method of manufacturing the same
US20070002199A1 (en) * 2005-06-30 2007-01-04 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and method for manufacturing the same
US20070272297A1 (en) * 2006-05-24 2007-11-29 Sergei Krivoshlykov Disordered silicon nanocomposites for photovoltaics, solar cells and light emitting devices
US20080035197A1 (en) * 2006-07-10 2008-02-14 Dmytro Poplavskyy Photoactive materials containing bulk and quantum-confined semiconductor structures and optoelectronic devices made therefrom
JP5057739B2 (ja) * 2006-10-03 2012-10-24 株式会社東芝 半導体記憶装置

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Photovoltaic effect in semiconductor nanocrystals embedded into amorphous silicon p-n junction;Sergei G.Krivoshlykov et al;《Applied Physics Letters》;20080730;第93卷;1-3 *
Reversible increase of photocurrents in excimer laser-crystallized silicon solar cells;Nilushan K. Mudugamuwa et al;《Solar Energy Materials & Solar Cells》;20080624;第92卷;1378-1381 *

Also Published As

Publication number Publication date
CN102160188A (zh) 2011-08-17
WO2010017555A1 (en) 2010-02-11
US20110220874A1 (en) 2011-09-15

Similar Documents

Publication Publication Date Title
CN102160188B (zh) 无机体相多结材料及其制备方法
Kim et al. 25th anniversary article: colloidal quantum dot materials and devices: a quarter‐century of advances
Carey et al. Colloidal quantum dot solar cells
Asim et al. A review on the role of materials science in solar cells
Talapin et al. Prospects of colloidal nanocrystals for electronic and optoelectronic applications
Bierman et al. Potential applications of hierarchical branching nanowires in solar energy conversion
Semonin et al. Quantum dots for next-generation photovoltaics
CN102308393A (zh) 包含第ⅳ-ⅵ族半导体核-壳纳米晶的光伏电池
Kuang et al. Elongated nanostructures for radial junction solar cells
US20070012355A1 (en) Nanostructured material comprising semiconductor nanocrystal complexes for use in solar cell and method of making a solar cell comprising nanostructured material
US20130092221A1 (en) Intermediate band solar cell having solution-processed colloidal quantum dots and metal nanoparticles
US20100276731A1 (en) Inorganic Nanocrystal Bulk Heterojunctions
Yuan et al. Role of surface energy in nanowire growth
TW201010094A (en) Nano or micro-structured PN junction diode array thin-film solar cell and manufacturing method thereof
WO2008127378A2 (en) Hybrid solar cells with 3-dimensional hyperbranched nanocrystals
Zafar et al. Indium phosphide nanowires and their applications in optoelectronic devices
WO2008132455A1 (en) Hybrid photovoltaic cells and related methods
US20130032767A1 (en) Octapod shaped nanocrystals and use thereof
US20100291724A1 (en) Method of producing high performance photovoltaic and thermoelectric nanostructured bulk and thin films
CN101411001A (zh) 纳米颗粒敏化的纳米结构的太阳能电池
Fu Colloidal metal halide perovskite nanocrystals: a promising juggernaut in photovoltaic applications
Chun et al. Various synthetic methods for one‐dimensional semiconductor nanowires/nanorods and their applications in photovoltaic devices
CN101779296A (zh) 分布式同轴光伏装置
Prete et al. Dilute nitride III-V nanowires for high-efficiency intermediate-band photovoltaic cells: Materials requirements, self-assembly methods and properties
Taylor et al. Colloidal quantum dots solar cells

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20161026

Termination date: 20210810

CF01 Termination of patent right due to non-payment of annual fee