CN102148148A - 半导体装置和积体集成电路装置的制造方法 - Google Patents

半导体装置和积体集成电路装置的制造方法 Download PDF

Info

Publication number
CN102148148A
CN102148148A CN2010102393219A CN201010239321A CN102148148A CN 102148148 A CN102148148 A CN 102148148A CN 2010102393219 A CN2010102393219 A CN 2010102393219A CN 201010239321 A CN201010239321 A CN 201010239321A CN 102148148 A CN102148148 A CN 102148148A
Authority
CN
China
Prior art keywords
layer
metal
grid
opening
cap layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010102393219A
Other languages
English (en)
Other versions
CN102148148B (zh
Inventor
庄学理
郑光茗
叶炅翰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102148148A publication Critical patent/CN102148148A/zh
Application granted granted Critical
Publication of CN102148148B publication Critical patent/CN102148148B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了一种半导体装置和集成电路装置的制造方法,该方法包括:提供一基板;形成一高介电常数介电层于基板上;形成一第一顶盖层于高介电常数介电层上;形成一第二顶盖层于第一顶盖层上,其中第二顶盖层与第一顶盖层不同;形成一虚置栅极层于第二顶盖层上;实施一图案化工艺以形成一栅极堆叠,其包括高介电常数介电层、第一和第二顶盖层、和虚置栅极层;从栅极堆叠移除虚置栅极层,因而形成一开口露出所述第二顶盖层;以及将一第一金属和一第二金属填入所述开口中,所述第一金属位于露出的第二顶盖层上,第二金属位于第一金属上,其中第一金属层与第二金属层不同,且具有适合于所述半导体装置的功函数。本发明可消除填隙问题。

Description

半导体装置和积体集成电路装置的制造方法
技术领域
本发明涉及一种半导体装置的制造方法,特别涉及一种集成电路装置的制造方法。
背景技术
半导体集成电路(IC)工业已经历快速的成长。在集成电路的演进历程中,功能密度(亦即每芯片面积的集成元件数目)已逐渐地增加,而几何尺寸(亦即使用制造步骤所能产生的最小元件(或线))已降低。此微缩历程一般是通过增加生产效率和降低相关的成本而得到优势。此微缩历程已增加制造工艺和所制造IC的复杂度,并且为了能实现这些优势,在IC的工艺和制造上,也需要相似的研发。
随着技术节点持续缩减,导致亟望将传统的多晶硅栅极电极置换成金属栅极电极,以改善元件性能。形成金属栅极结构(亦即具有金属栅极电极)的方法之一通称为“后栅极”工艺,其中最后才制作最终的栅极堆叠,由此降低后续的工艺数目,包括必须在栅极结构形成之后方才实施的高温工艺。然而,在传统工艺中实施此构造和工艺仍具有许多的挑战。随着栅极长度及元件之间的空间缩减,上述问题变得更加严重。例如,传统栅极置换工艺存在填隙的问题以及高于能接受的栅极漏电流。因此,业界亟需一种集成电路装置的制造方法。
发明内容
为克服现有技术的缺陷,本发明提供许多不同的实施例,其一范例的方法包括:提供一基板;形成一高介电常数介电层于该基板上;形成一第一顶盖层于该高介电常数介电层上;形成一第二顶盖层于该第一顶盖层上,其中该第二顶盖层不同于该第一顶盖层;形成一虚置栅极层于该第二顶盖层上;实施一图案化工艺以形成一栅极堆叠,其包括该高介电常数介电层、该第一 和第二顶盖层、和该虚置栅极层;从该栅极堆叠移除该虚置栅极层,因而形成一开口露出该第二顶盖层;以及将一第一金属和一第二金属填入该开口中,该第一金属位于露出的第二顶盖层上,该第二金属位于该第一金属上,其中该第一金属层与该第二金属层不同,且具有适合于该半导体装置的功函数。
本发明另一实施例的制造方法,包括:提供一基板;形成一高介电常数介电层于该基板上;形成多层顶盖层于该高介电常数介电层上,其中该多层顶盖层包括至少一氮化钛层及至少一氮化钽层;在形成多层顶盖层之后,形成一虚置栅极层于该多层顶盖层上;实施一图案化工艺以形成一栅极堆叠,其包括该高介电常数介电层、该多层顶盖层、和该虚置栅极层;实施一栅极置换工艺,其中该栅极堆叠中的该虚置栅极层置换成一金属栅极电极,该金属栅极电极形成于该多层顶盖层上。
本发明又一实施例的制造方法,包括:提供一基板;形成一栅极介电层于该基板上;形成一氮化钛层于该栅极介电层上;形成一氮化钽层于该氮化钛层上;形成一虚置栅极层于该氮化钽层上;形成一硬掩模层于该虚置栅极层上;在一n型金属-氧化-半导体晶体管区域形成一第一栅极堆叠及在一p型金属-氧化-半导体晶体管区域形成一第二栅极堆叠;从该第一栅极堆叠处移除该硬掩模层和该虚置栅极层,留下一第一开口,其中暴露出该氮化钽层;从该第二栅极堆叠处移除该硬掩模层和该虚置栅极层,留下一第二开口,其中暴露出该氮化钽层;形成一第一金属层于该第一开口中,该第一金属层具有一n型功函数;形成一第二金属层于该第二开口中,该第二金属层具有一p型功函数。
本发明可消除填隙问题并可改善整体装置的性能。
为使本发明能更明显易懂,下文特举实施例,并配合附图,作详细说明如下。
附图说明
图1显示根据本发明公开的各种方式的集成电路装置制造方法的流程图。
图2-图6显示根据图1的方法在制造集成电路装置的各阶段的剖面示意 图。
【主要附图标记说明】
100~半导体装置的制造方法;
102-114~工艺步骤;
200~半导体装置;
210~基板;
211A~第一区域;
211B~第二区域;
212~隔离区域;
214~高介电常数(high-k)介电层;
216~第一顶盖层;
218~第二顶盖层;
220~虚置栅极层;
222~硬掩模层;
230和231~栅极堆叠;
232~栅极间隙子衬垫;
234~栅极间隙子;
236~掺杂区域;
238~层间介电(ILD)层;
240和241~沟槽(开口);
242和244~金属栅极。
具体实施方式
以下以各实施例详细说明并伴随着图式说明的范例,做为本发明的参考依据。在图式或说明书描述中,相似或相同的部分皆使用相同的图号。且在图式中,实施例的形状或是厚度可扩大,并以简化或是方便标示。再者,图式中各元件的部分将以分别描述说明之,值得注意的是,图中未绘示或描述的元件,为所属技术领域普通技术人员所知的形式,另外,特定的实施例仅为揭示本发明使用的特定方式,其并非用以限定本发明。
请参阅图1-图6,以下具体描述方法100和半导体装置200。所述半导 体装置200以一集成电路或其部分为例说明,此集成电路可包括存储单元及/或逻辑电路。所述半导体装置200可包括无源元件,例如电阻、电容、电感、及/或熔丝;以及主动元件,例如P通道场效应晶体管(PFET)、N通道场效应晶体管(NFET)、金属-氧化-半导体场效应晶体管(MOSFET)、互补式金属-氧化-半导体晶体管(CMOS)、高压晶体管、及/或高频晶体管;其他适合的元件;及/或上述元件的任意组合。应注意的是,可在方法100之前、当中、或之后提供额外的步骤,且以下所叙述的一些步骤可置换或取消方法100的额外的实施例。还应注意的是,可增加额外的构造于集成电路装置200中,且以下所描述的一些构造可被集成电路装置200的额外实施例所取代或省略删除。
图1显示以“后栅极”工艺制造半导体装置200的实施例的一方法100的流程图。在所述“后栅极”工艺中,先形成一虚置多晶硅栅极结构,之后将此虚置多晶硅栅极结构移除并取代以金属栅极结构。图2-图6为根据一实施例的半导体装置200,在制造方法100的各制造阶段中,其部分的或全部的剖面示意图。半导体装置200可利用CMOS技术工艺而形成,且因此,一些工艺在此并未详细地描述。
一传统的栅极置换工艺提供一单一氮化钛(TiN)顶盖层于一高介电常数(high-k)介电层上在所述栅极置换工艺。在一虚置栅极结构自单一TiN顶盖层和high-k介电层上移除之后,在移除虚置栅极结构所留下的开口中形成一金属栅极结构。所述传统工艺将一氮化钽(TaN)层部分地填入该开口中,使得所述氮化钽层形成于氮化钛层上,且邻接开口的侧壁,并且将所述开口的剩余部分填入金属层,使得此金属层被氮化钽层环绕。随着技术节点持续地降低,已观察到的是,传统的栅极置换工艺已显现填隙问题及高于所欲的栅极漏电流。
上述方法100施行一栅极置换工艺,在形成虚置栅极结构之前并且在实施栅极置换工艺之前,先形成一氮化钛顶盖层。尤其是,所述方法100在形成虚置栅极层之前,实施一多层顶盖层,其包括至少一氮化钛层和至少一氮化钽层。形成此多层顶盖层(包括一氮化钛层和一氮化钽层)可消除填隙问题,例如,可允许后续形成的金属栅极(栅极层)完全地填入移除虚置栅极结构所留下的开口中而不发生孔洞问题。再者,当多层顶盖层包括一氮化钽层做为顶层,此氮化钽层可做为所述虚置栅极移除工艺的蚀刻终止层,避免损及高 介电常数(high-k)介电层,此结果有时导因于移除虚置栅极所使用的干/湿蚀刻工艺。上述公开的方法还进一步改善整体装置的性能。例如,方法100可降低漏电流及改善依时性介电击穿(TDDB)的可靠度(例如,增加与依时性介电击穿相关的时间)。应了解的是,不同的实施例提供不同的优点,并且并不要求所有的实施例能达到某特定的优点。
请参阅图1和图2,在方法100的步骤102中,提供一基板210。在本实施例中,基板210为一半导体基板,包括硅。另可替换地,此基板210包括一元素半导体,包括锗;一化合物半导体包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、及/或锑化铟;一合金半导体包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或上述半导体材料的任意组合。
所述基板210可包括多个掺杂的区域依本领域普通技术人员所熟知的设计需求而定(例如p型阱区或n型阱区)。所述掺杂区域为掺杂p型掺杂物,例如是硼或BF2,或掺杂n型掺杂物,例如是磷或砷,或上述掺杂物的任意组合。所述掺杂区域可直接地形成于基板210上的P型阱结构中、N型阱结构中、双阱结构中、或使用一垄起的结构。在本实施例中,基板210包括一第一区域211A和一第二区域211B。所述第一区域211A可配置用于N型金属-氧化-半导体晶体管装置(通称为NMOS),及所述第二区域211B可配置用于P型金属-氧化-半导体晶体管装置(通称为PMOS)。
将一隔离区域212形成于基板210中,以隔离基板210的各种区域(例如第一区域211A和第二区域211B),且在本实施例中,用以隔离NMOS和PMOS装置区域。所述隔离区域212是采用隔离技术,例如硅局部氧化(LOCOS)或浅沟槽隔离(STI)技术,以定义出并电性隔离各第一和第二区域211A和211B。此隔离区域212包括氧化硅、氮化硅、氮氧化硅、其他适合的材料、或上述材料的任意组合。此隔离区域212可通过任何适合的工艺形成。在一范例中,形成浅沟槽隔离物的方法包括一光刻工艺,在基板中蚀刻出一沟槽(例如通过干蚀刻及/或湿蚀刻),以一或多介电材料填入此沟槽中(例如通过化学气相沉积工艺)。例如,此填入的沟槽可具有多层结构例如一热氧化衬垫层,再以氧化硅或氮化硅填入。
再请参阅图1和图2,在方法100的步骤104、106、108中,将各种材料层形成于基板210上。例如,高介电常数(high-k)介电层214、一多层顶盖 层(例如一第一顶盖层216和一第一顶盖层218)、一虚置栅极层220、及一硬掩模层222形成于所述基板210上。所述各种材料层可通过沉积工艺形成,例如化学气相沉积法(CVD)、物理气相沉积法(PVD)、原子层沉积法(ALD)、高密度等离子体化学气相沉积法(HDPCVD)、金属有机化学气相沉积法(MOCVD)、远等离子体化学气相沉积法(RPCVD)、等离子体辅助化学气相沉积法(PECVD)、电镀、其他适合的方法、及/或上述方法的任意组合。
在工艺步骤106中,所述多层顶盖层是形成于high-k介电层214上。在本实施例中,此多层顶盖层包括一第一顶盖层216和一第二顶盖层218。此多层顶盖层包括至少一氮化钛(TiN)层和至少一氮化钽(TaN)层。例如,所述第一顶盖层216包括TiN,且所述第二顶盖层218包括TaN。另可替换地,所述第一顶盖层216包括TaN,且所述第二顶盖层218包括TiN。另一可替换的多层顶盖层包括底部TaN层、一中间TaN层、和一顶部TiN层。又一可替换的多层顶盖层包括底部TiN层、一中间TaN层、和一顶部TiN层。也可考虑将其他材料或材料层的组合使用于所述多层顶盖层。
在工艺步骤108中,在形成所述多层顶盖层之后,将一虚置栅极层220形成于多层顶盖层(例如第一顶盖层216和第二顶盖层218)上。在本范例中,所述虚置栅极层220包括多晶硅。也可考虑将其他材料或材料层的组合使用于所述虚置栅极层220中,且此虚置栅极层220可包括多层材料层。
请参阅图1-图3,在工艺步骤110中,形成一栅极堆叠,其包括high-k介电层214、多层顶盖层(例如一第一顶盖层216和一第二顶盖层218)、及虚置栅极层220。在本范例中,一栅极堆叠230形成于第一区域211A中,且一栅极堆叠231形成于第二区域211B中。所述栅极堆叠可通过任何适合的工艺形成。例如,将一硬掩模层222形成于所述虚置栅极层220上。此硬掩模层222包括氮化硅、氧化硅、氮氧化硅、碳化硅、及/或其他适合的材料。通过适当的工艺将一光致抗蚀剂层形成于硬掩模层222上,例如旋转涂布,及将此光致抗蚀剂层图案化以形成图案化构造。接着,将此光致抗蚀剂层的图案转移至底部层(例如通过蚀刻工艺),以形成栅极堆叠230和231,其包括硬掩模层222、虚置栅极层220、第一和第二顶盖层216和218、和high-k介电层214,如图3所示。所述光致抗蚀剂层可在此步骤之后剥除。所述光刻图案化步骤包括光致抗蚀剂涂布(例如旋转涂布)、软烤、光掩模对准、曝 光、曝光后烘烤、光致抗蚀剂显影、清洗、烘干(例如硬烤)、其他适合的工艺、及/或上述工艺的任意组合。另可替代地,所述光刻图案化工艺可通过其他适当的方法实施或取代,例如无光掩模光刻工艺、电子束写入工艺、或离子束写入工艺。所述蚀刻工艺包括干蚀刻、湿蚀刻、及/或其他蚀刻方法。应了解的是,以上范例并不限定需采用所有的工艺步骤以形成栅极堆叠。更进一步应了解的是,所述栅极堆叠包括额外的层。
请参阅图4,后续的工艺可包括形成栅极间隙子衬垫232、栅极间隙子234、掺杂区域236、及/或层间介电(ILD)层238。所述间隙子衬垫232和栅极间隙子234是通过任何适合的工艺形成至任何适合的厚度。栅极间隙子234设置于邻接所述栅极堆叠,并且包括一介电材料,例如氮化硅、碳化硅、氮氧化硅、其他适合的材料、及/或上述材料的任意组合。在一范例中,所述间隙子衬垫232包括一氧化物材料(例如氧化硅),且所述栅极间隙子234包括一氮化物材料(例如氮化硅)。栅极间隙子234可用于使后续形成的掺杂区域偏移,例如重掺杂源极/漏极区域。
所述掺杂区域236是形成于基板210上。这些掺杂区域236可包括轻掺杂源极/漏极(LDD)区域及/或源极/漏极(S/D)区域(又称为重掺杂源极/漏极区域)。所述掺杂区域236可通过离子注入工艺、光刻工艺、扩散工艺、回火工艺(例如快速热回火及/或激光回火工艺)、及/或其他适合的工艺形成于基板210中。掺杂的物种是依据所欲制造的元件型态而决定,且包括例如是硼或BF2的p型掺杂物、例如是磷或砷的n型掺杂物、或上述掺杂物的任意组合。再者,所述掺杂区域236包括垄起的源极/漏极(S/D)区域。此垄起的S/D区域可以通过外延工艺形成,例如CVD沉积技术(例如气相外延法(VPE)及/或超高真空化学气相沉积法(UHV-CVD))、分子束外延法、及/或其他适合的工艺。
所述层间介电(ILD)层238是形成于基板210上。所述层间介电层238包括一介电材料,例如氧化硅、氮化硅、氮氧化硅、TEOS所形成的氧化物、磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、低介电常数(low-k)材料、其他适合的介电材料、及/或上述材料的任意组合。低介电常数(low-k)材料范例为掺氟的硅玻璃(FSG)、掺杂碳的氧化硅、黑钻石 
Figure BSA00000208565300071
(应用材料、圣塔克拉拉、美国加州)、干凝胶(Xerogel)、气凝胶(Aerogel)、非晶系掺氟的碳、聚对二甲 苯(Parylene)、苯并环丁烯(BCB)、芳香族碳氢化合物(SiLK)、聚酰亚胺、其他适合的材料、及/或上述材料的任意组合。所述层间介电层238可包括具有多种介电材料的多层结构。在沉积层间介电层238的后续步骤,可实施一化学机械研磨(CMP)工艺,以抵达/露出栅极结构的顶部。尤其是,露出所述栅极结构的顶部包括硬掩模层222和虚置栅极220,如图4中所示。
在工艺步骤112和114中,实施一栅极置换工艺,其中所述虚置栅极220被置换成例如金属栅极。请参阅图5和图6,从栅极堆叠230和231将硬掩模层222和虚置栅极220移除,因而形成一沟槽(开口)240和241。这些开口240和241暴露出所述多层顶盖层,尤其是第二顶盖层218。接着将栅极242和244形成于沟槽(开口)240和241中。所述栅极242和244填入沟槽/开口240和241中,且沉积于露出的多层顶盖层(例如第二顶盖层218)上。可同时将硬掩模层222/虚置栅极220自栅极堆叠230移除,或者分别通过任何适合的工艺,例如干蚀刻及/或湿蚀刻工艺。
所述栅极242和栅极244可包括相同或不同的材料及/或厚度。在本范例中,栅极242和244包括铝调变成各种功函数(例如栅极242调变成具有n型功函数以及栅极244调变成具有p型功函数)。另可替换地,所述栅极242和244包括界面层、high-k介电层、顶盖层、具有适合功函数的材料层、导电层、其他适合的材料层、及/或上述材料层的任意组合。例如,所述栅极242和244可包括一导电层,其具有适当的功函数或可调变成具有适当的功函数(因此称为功函数层),及另一导电层形成于此功函数层上。在各种范例中,此功函数层包括钽、氮化钽、硅化镍、硅化钴、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、其他适合的材料、及/或上述材料的任意组合。所述形成于功函数层上的导电层包括适合的导电材料,例如铝、钨、或钴。此导电层可额外地或整体地包括多晶硅、钛、钽、金属合金、其他适合的材料、及/或上述材料的任意组合。在栅极242和244的后续步骤,可实施一化学机械研磨(CMP)工艺,以提供一实质上表面共平面的栅极242和244。
应了解的是,可进行更进一步的CMOS或MOS技术工艺于所述半导体装置200,以形成本领域普通技术人员所熟制的各种构造。后续的工艺可在基板210上形成各种接触/导电孔/线及多层内连线构造(例如金属层和层间介电层),构成连接所述半导体装置200的各种构造和结构。所述额外的构造可 包括连接电性内连线至包括已形成的金属栅极结构的装置。例如,一多层内连线结构包括垂直连接件如传统的电性导孔或接触,及水平连接件如金属导线。所述各种内连线结构可采用各种导电材料包括铜、钨、及/或硅化物。在一范例中,使用镶嵌及/或双镶嵌工艺以形成铜相关的多层内连线结构。
本发明虽以各种实施例公开如上,然其并非用以限定本发明的保护范围,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可做些许的更动与润饰。本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (10)

1.一种半导体装置的制造方法,包括:
提供一基板;
形成一高介电常数介电层于该基板上;
形成一第一顶盖层于该高介电常数介电层上;
形成一第二顶盖层于该第一顶盖层上,其中该第二顶盖层不同于该第一顶盖层;
形成一虚置栅极层于该第二顶盖层上;
实施一图案化工艺以形成一栅极堆叠,其包括该高介电常数介电层、该第一和第二顶盖层、和该虚置栅极层;
从该栅极堆叠移除该虚置栅极层,因而形成一开口露出该第二顶盖层;以及
将一第一金属和一第二金属填入该开口中,该第一金属位于露出的第二顶盖层上,该第二金属位于该第一金属上,其中该第一金属层与该第二金属层不同,且具有适于该半导体装置的功函数。
2.根据权利要求1所述的半导体装置的制造方法,其中形成该第一顶盖层的步骤包括形成具有氮化钛或氮化钽的该第一顶盖层。
3.根据权利要求1所述的半导体装置的制造方法,其中形成该第二顶盖层的步骤包括形成具有氮化钛或氮化钽的该第二顶盖层。
4.根据权利要求1所述的半导体装置的制造方法,其中该半导体装置为一n型金属-氧化-半导体场效应晶体管或一p型金属-氧化-半导体场效应晶体管,且该第一金属层的该功函数调整适于该金属-氧化-半导体场效应晶体管。
5.根据权利要求1所述的半导体装置的制造方法,其中形成该栅极堆叠的步骤包括:
形成一硬掩模层于该虚置栅极层上;
图案化该硬掩模层;
将该硬掩模层的图案转移至该虚置栅极层、该第一和第二顶盖层、和该高介电常数介电层。
6.一种集成电路装置的制造方法,包括:
提供一基板;
形成一高介电常数介电层于该基板上;
形成多层顶盖层于该高介电常数介电层上,其中该多层顶盖层包括至少一氮化钛层及至少一氮化钽层;
在形成多层顶盖层之后,形成一虚置栅极层于该多层顶盖层上;
实施一图案化工艺以形成一栅极堆叠,其包括该高介电常数介电层、该多层顶盖层、和该虚置栅极层;
实施一栅极置换工艺,其中该栅极堆叠中的该虚置栅极层置换成一金属栅极电极,该金属栅极电极形成于该多层顶盖层上。
7.根据权利要求6所述的集成电路装置的制造方法,其中实施该栅极置换工艺的步骤包括:
实施一蚀刻步骤以移除该虚置栅极结构,其中该多层顶盖层作为一蚀刻终止层,使得当到达该多层顶盖层的时刻,该蚀刻步骤终止;
沉积一第一金属层于该虚置栅极层移除后形成的一开口中;
沉积一第二金属层于该第一金属层上;以及
实施一化学机械研磨工艺。
8.根据权利要求6所述的集成电路装置的制造方法,其中实施该栅极置换工艺的步骤包括:
从一第一栅极结构的第一栅极堆叠和一第二栅极结构的第二栅极堆叠处移除该虚置栅极,因而形成一第一开口和一第二开口;
形成一第一金属于该第一栅极结构的第一开口中,该第一金属具有一第一功函数;
形成一第二金属于该第二栅极结构的第二开口中,该第二金属具有一第二功函数;以及
在该第一栅极结构的第一开口和该第二栅极结构的第二开口中填入一第三金属层。
9.一种集成电路装置的制造方法,包括:
提供一基板;
形成一栅极介电层于该基板上;
形成一氮化钛层于该栅极介电层上;
形成一氮化钽层于该氮化钛层上;
形成一虚置栅极层于该氮化钽层上;
形成一硬掩模层于该虚置栅极层上;
在一n型金属-氧化-半导体晶体管区域形成一第一栅极堆叠及在一p型金属-氧化-半导体晶体管区域形成一第二栅极堆叠;
从该第一栅极堆叠处移除该硬掩模层和该虚置栅极层,留下一第一开口,其中暴露出该氮化钽层;
从该第二栅极堆叠处移除该硬掩模层和该虚置栅极层,留下一第二开口,其中暴露出该氮化钽层;
形成一第一金属层于该第一开口中,该第一金属层具有一n型功函数;
形成一第二金属层于该第二开口中,该第二金属层具有一p型功函数。
10.根据权利要求9所述的集成电路装置的制造方法,还包括:
沉积一铝层于该第一开口中的该第一金属层上和于该第二开口中的该第二金属层上,其中该铝层填入该第一开口和该第二开口中;以及
实施一化学机械研磨工艺于该铝层上。
CN2010102393219A 2010-02-08 2010-07-27 半导体装置和集成电路装置的制造方法 Active CN102148148B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/702,012 US8476126B2 (en) 2010-02-08 2010-02-08 Gate stack for high-K/metal gate last process
US12/702,012 2010-02-08

Publications (2)

Publication Number Publication Date
CN102148148A true CN102148148A (zh) 2011-08-10
CN102148148B CN102148148B (zh) 2013-06-19

Family

ID=44354041

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010102393219A Active CN102148148B (zh) 2010-02-08 2010-07-27 半导体装置和集成电路装置的制造方法

Country Status (2)

Country Link
US (1) US8476126B2 (zh)
CN (1) CN102148148B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104347379A (zh) * 2013-07-24 2015-02-11 爱思开海力士有限公司 包括多层栅极的半导体器件、电子器件及其形成方法
CN104517900A (zh) * 2013-09-27 2015-04-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN108231585A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN110534420A (zh) * 2018-05-23 2019-12-03 台湾积体电路制造股份有限公司 半导体装置与制作半导体装置的方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101781620B1 (ko) * 2010-09-01 2017-09-25 삼성전자주식회사 모오스 트랜지스터의 제조방법
US8685808B2 (en) * 2011-09-28 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device fabrication method
US9236379B2 (en) 2011-09-28 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US8912610B2 (en) * 2011-11-11 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for MOSFETS with high-K and metal gate structure
US8846474B2 (en) 2012-08-20 2014-09-30 Tokyo Electron Limited Dual workfunction semiconductor devices and methods for forming thereof
US8735269B1 (en) * 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
FR3005201A1 (fr) 2013-04-24 2014-10-31 St Microelectronics Crolles 2 Procede de realisation d'un transistor mos a grille metallique, en particulier un transistor pmos, et circuit integre correspondant
US9349742B2 (en) * 2013-06-21 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded memory and methods of forming the same
KR102218547B1 (ko) 2014-06-26 2021-02-22 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
KR102306674B1 (ko) 2015-03-17 2021-09-29 삼성전자주식회사 반도체 소자 및 그 제조방법
US11961895B2 (en) 2021-09-08 2024-04-16 International Business Machines Corporation Gate stacks with multiple high-κ dielectric layers

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1450658A (zh) * 2002-03-29 2003-10-22 株式会社东芝 半导体器件和半导体器件的制造方法
US20080076216A1 (en) * 2006-09-25 2008-03-27 Sangwoo Pae Method to fabricate high-k/metal gate transistors using a double capping layer process
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors
CN101350354A (zh) * 2007-07-20 2009-01-21 株式会社瑞萨科技 半导体装置及其制造方法
US20090179285A1 (en) * 2008-01-10 2009-07-16 Bingxi Sun Wood Metal gate electrodes for replacement gate integration scheme
US20100006425A1 (en) * 2008-03-04 2010-01-14 Xinyu Fu Methods of forming a layer for barrier applications in an interconnect structure
TW201005923A (en) * 2008-02-19 2010-02-01 Ibm Dual metal gate structures and methods

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5920105A (en) * 1996-09-19 1999-07-06 Fujitsu Limited Compound semiconductor field effect transistor having an amorphous gas gate insulation layer
US20100155860A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Two step method to create a gate electrode using a physical vapor deposited layer and a chemical vapor deposited layer
US8067806B2 (en) * 2009-09-11 2011-11-29 United Microelectronics Corp. Gate structures of CMOS device and method for manufacturing the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1450658A (zh) * 2002-03-29 2003-10-22 株式会社东芝 半导体器件和半导体器件的制造方法
US20080076216A1 (en) * 2006-09-25 2008-03-27 Sangwoo Pae Method to fabricate high-k/metal gate transistors using a double capping layer process
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors
CN101350354A (zh) * 2007-07-20 2009-01-21 株式会社瑞萨科技 半导体装置及其制造方法
US20090179285A1 (en) * 2008-01-10 2009-07-16 Bingxi Sun Wood Metal gate electrodes for replacement gate integration scheme
TW201005923A (en) * 2008-02-19 2010-02-01 Ibm Dual metal gate structures and methods
US20100006425A1 (en) * 2008-03-04 2010-01-14 Xinyu Fu Methods of forming a layer for barrier applications in an interconnect structure

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104347379A (zh) * 2013-07-24 2015-02-11 爱思开海力士有限公司 包括多层栅极的半导体器件、电子器件及其形成方法
CN104347379B (zh) * 2013-07-24 2018-09-11 爱思开海力士有限公司 包括多层栅极的半导体器件、电子器件及其形成方法
CN104517900A (zh) * 2013-09-27 2015-04-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN108231585A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN108231585B (zh) * 2016-12-15 2022-12-09 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN110534420A (zh) * 2018-05-23 2019-12-03 台湾积体电路制造股份有限公司 半导体装置与制作半导体装置的方法

Also Published As

Publication number Publication date
CN102148148B (zh) 2013-06-19
US8476126B2 (en) 2013-07-02
US20110195549A1 (en) 2011-08-11

Similar Documents

Publication Publication Date Title
CN102148148B (zh) 半导体装置和集成电路装置的制造方法
KR101785864B1 (ko) 하이 K 금속 게이트를 갖는 nFET에 대한 구조 및 방법
US8658525B2 (en) Methods for a gate replacement process
CN102103995B (zh) 集成电路元件的形成方法
US7985690B2 (en) Method for a gate last process
CN102117774B (zh) 集成电路元件的形成方法
CN102315127B (zh) 半导体装置与半导体元件的制法
US8680597B2 (en) Method and apparatus for improving gate contact
KR101657852B1 (ko) 더미 게이트 구조를 가지는 반도체 장치 및 그 제조방법
US8969922B2 (en) Field effect transistors and method of forming the same
US9773696B2 (en) Semiconductor structure and manufacturing method thereof
CN102214607B (zh) 多重临界电压装置及其制造方法
US20080102583A1 (en) Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to si, sige and strained silicon schemes
CN102315109B (zh) 半导体装置及其制造方法
CN101800192A (zh) 集成电路的制造方法
CN102891148A (zh) 用于单栅极非易失性存储器件的结构和方法
CN103165466A (zh) 包括多晶硅电阻器和金属栅极电阻器的半导体器件及其制造方法
CN104241135A (zh) 在硅化物形成之前在触点蚀刻之后添加的电介质衬里
US11437286B2 (en) Middle of line structures
CN102214564B (zh) 利用经处理的硬罩幕制造半导体元件的闸极电极的方法
CN109300780B (zh) 形成栅极接触点的导电间隔物的方法以及所得装置
US7342286B2 (en) Electrical node of transistor and method of forming the same
US11545432B2 (en) Semiconductor device with source and drain vias having different sizes
KR102572469B1 (ko) 금속 게이트에 대한 방법 및 구조물
US20220359393A1 (en) Via Structures

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant