CN101859697A - 图案化的方法及集成电路结构 - Google Patents

图案化的方法及集成电路结构 Download PDF

Info

Publication number
CN101859697A
CN101859697A CN201010001492A CN201010001492A CN101859697A CN 101859697 A CN101859697 A CN 101859697A CN 201010001492 A CN201010001492 A CN 201010001492A CN 201010001492 A CN201010001492 A CN 201010001492A CN 101859697 A CN101859697 A CN 101859697A
Authority
CN
China
Prior art keywords
pattern
layer
conversion
patterning
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010001492A
Other languages
English (en)
Other versions
CN101859697B (zh
Inventor
吕函庭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Macronix International Co Ltd
Original Assignee
Macronix International Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix International Co Ltd filed Critical Macronix International Co Ltd
Publication of CN101859697A publication Critical patent/CN101859697A/zh
Application granted granted Critical
Publication of CN101859697B publication Critical patent/CN101859697B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Semiconductor Memories (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种图案化的方法。首先,于目标层上依序形成掩膜层及多个第一转移图案。接着,于第一转移图案之间的间隙中形成多个第二转移图案。然后,于第一转移图案与第二转移图案之间的间隙中形成多个第三转移图案。之后,以第一转移图案、第二转移图案及第三转移图案为掩膜,移除部分的掩膜层,以形成图案化掩膜层。继之,以图案化掩膜层为掩膜,移除部分的目标层。

Description

图案化的方法及集成电路结构
技术领域
本发明是有关于一种图案化的方法及一种集成电路结构。
背景技术
非挥发性存储器由于具有可多次进行资料的存入、读取、擦除等动作,且存入的资料在断电后也不会消失的优点,因此,非挥发性存储器被广泛采用在个人计算机和电子设备等等。
随着非挥发性存储器的集成度的日益提升,非挥发性存储器的尺寸也必须随之缩小。元件缩小化与集积化是必然的趋势,也是各界积极发展的重要课题,而其中的关键技术就是光刻工艺。
在光刻工艺中,已知制造线宽或线距低于65纳米,尤其是线宽/线距(line/space)不超过25/25纳米,以现今的科技来说是相当困难的,除非使用波长更短的光源以及可配合所用波长的光刻胶。如此一来,厂房很可能必须付出相当大的成本来更替相配合的新机台。
因此,如何使用现有的机台及工艺来达到缩小线宽/线距至不超过25/25纳米的目标,已成为业界相当重视的课题之一。
发明内容
本发明提供一种图案化的方法,可以轻易地达到缩小线宽/线距至不超过25/25纳米的目标。
本发明另提供一种图案化的方法,可将定义出来的图案密度增加四倍,且缩小间距到其原本长度的四分之一。
本发明提供一种图案化的方法。首先,于目标层上依序形成掩膜层及多个第一转移图案。接着,对第一转移图案的表面进行第一转换工艺,以于第一转移图案的表面上形成多个第一转换图案。然后,于第一转换图案之间的间隙中填入多个第二转移图案。之后,移除第一转换图案。继之,对第一转移图案及第二转移图案的表面进行第二转换工艺,以于第一转移图案及第二转移图案的表面上形成多个第二转换图案。接着,于第二转换图案之间的间隙中填入多个第三转移图案。然后,移除第二转换图案。之后,以第一转移图案、第二转移图案及第三转移图案为掩膜,移除部份的掩膜层,以形成图案化掩膜层。继之,以图案化掩膜层为掩膜,移除部份的目标层。
在本发明的一实施例中,上述的目标层为基底。
在本发明的一实施例中,上述的目标层为包括基底及位于基底上的材料层的叠层结构。
在本发明的一实施例中,上述的材料层的材料包括多晶硅或金属。
在本发明的一实施例中,上述的材料层、第一转移图案、第二转移图案及第三转移图案的材料相同或不同。
在本发明的一实施例中,上述的第一转移图案、第二转移图案及第三转移图案的材料皆包括多晶硅或金属。
在本发明的一实施例中,上述的掩膜层的材料包括四乙氧基硅氧烷形成的二氧化硅(TEOS-SiO2)、硼磷硅玻璃(BPSG)、磷硅玻璃(PSG)、氢化硅倍半转换物(HSQ)、氟硅玻璃(FSG)或无掺杂硅玻璃(USG)。
在本发明的一实施例中,上述的第一转换工艺及第二转换工艺皆包括氧化工艺、氮化工艺、氮氧化工艺或金属硅化工艺。
在本发明的一实施例中,上述的第一转换图案及第二转换图案的材料相同或不同。
在本发明的一实施例中,上述的第一转换图案及第二转换图案的材料包括氧化硅、氮化硅、氮氧化硅、金属氧化物、金属氮化物、金属氮氧化物或金属硅化物。
在本发明的一实施例中,于上述的第一转换图案之间的间隙中填入第二转移图案的步骤包括先于第一转换图案上形成第一转移层,再移除部份的第一转移层以露出第一转换图案的顶部。
在本发明的一实施例中,移除部份的第一转移层的步骤包括进行回蚀刻工艺或化学机械研磨工艺。
在本发明的一实施例中,于上述的第二转换图案之间的间隙中填入第三转移图案的步骤包括先于第二转换图案上形成第二转移层,再移除部份的第二转移层以露出第二转换图案的顶部。
在本发明的一实施例中,移除部份的第二转移层的步骤包括进行回蚀刻工艺或化学机械研磨工艺。
在本发明的一实施例中,移除第一转换图案的步骤及移除第二转换图案的步骤皆包括进行蚀刻工艺。
本发明另提供一种图案化的方法。首先,于目标层上依序形成掩膜层及多个第一转移图案。接着,于第一转移图案之间的间隙中形成多个第二转移图案。然后,于第一转移图案与第二转移图案之间的间隙中形成多个第三转移图案。之后,以第一转移图案、第二转移图案及第三转移图案为掩膜,移除部份的掩膜层,以形成图案化掩膜层。继之,以图案化掩膜层为掩膜,移除部份的目标层。
在本发明的一实施例中,上述的目标层为基底。
在本发明的一实施例中,上述的目标层为包括基底及位于基底上的材料层的叠层结构。
在本发明的一实施例中,上述的材料层的材料包括多晶硅或金属。
在本发明的一实施例中,上述的第一转移图案、第二转移图案及第三转移图案的材料皆包括多晶硅或金属。
本发明又提供一种集成电路结构,其包括目标层及基底。目标层配置在基底上且具有多个第一图案、多个第二图案及多个第三图案。各第一图案具有线宽为L1,各第二图案具有线宽为L2,各第三图案具有线宽为L3,且L1、L2及L3彼此不同,以一个第一图案、一个第二图案、一个第三图案、一个第二图案的顺序重复排列。
在本发明的一实施例中,上述的目标层包括介电层及位于介电层上的材料层的叠层结构。
在本发明的一实施例中,上述的材料层的材料包括多晶硅或金属。
在本发明的一实施例中,上述的介电层的材料包括氮化硅。
基于上述,本发明的图案化的方法由两次转换工艺及两次自行对准工艺,图案密度可以增加四倍。也就是说,由本发明的四倍图案化的方法(quadruple patterning method),可以利用现有的机台及工艺来达到缩小间距(pitch)至其原本长度的四分之一的目标,大幅节省成本,提升竞争力。
附图说明
为让本发明的上述特征和优点能更明显易懂,下文特举实施例,并配合附图作详细说明如下,其中:
图1A到1I是根据本发明的一实施例所绘示的图案化的方法的流程上视图。
图2A到2I是根据图1A到1I沿I-I’线所绘示的流程剖面图。
具体实施方式
图1A到1I是根据本发明的一实施例所绘示的图案化的方法的流程上视图。图2A到2I是根据图1A到1I沿I-I’线所绘示的流程剖面图。
首先,请参照图1A及2A,于目标层104上依序形成掩膜层106、转移层108及图案化光刻胶层110。目标层104例如为叠层结构,包括依序形成在基底100上的介电层101及材料层102。基底100例如是半导体结构如硅基底。介电层101可以为单层或多层结构。材料层102的材料例如是多晶硅或金属。掩膜层106的材料包括四乙氧基硅氧烷形成的二氧化硅(TEOS-SiO2)、硼磷硅玻璃(BPSG)、磷硅玻璃(PSG)、氢化硅倍半转换物(HSQ)、氟硅玻璃(FSG)或无掺杂硅玻璃(USG)。转移层108的材料例如是多晶硅或金属。形成介电层101、材料层102、掩膜层106、转移层108的方法例如为化学气相沉积工艺。
在此实施例中,介电层101例如为厚度20埃的多层结构,其包括依序形成在基底100上的ONO复合层121、电荷储存层122及绝缘层123。材料层102例如为厚度100埃的多晶硅层。掩膜层106例如为厚度200埃的四乙氧基硅氧烷形成的二氧化硅层。转移层108例如为厚度200埃的多晶硅层。图案化光刻胶层110的线宽/线距例如为100/100纳米。
接着,请参照图1B及2B,以图案化光刻胶层110为掩膜,移除部份的转移层108,以形成多个转移图案(transfer pattern)108a。在移除部份的转移层108的步骤之前,也可以对图案化光刻胶层110进行削减工艺,以进一步缩小图案化光刻胶层110的线宽。在此实施例中,转移图案108a的线宽例如为约70埃。在图1B的上视图中,转移图案108a呈蛇状,其末端彼此相连,但本发明并不以此为限。在另一实施例中(未绘示),转移图案108a的末端并不相连。
然后,请参照图1C及2C,对转移图案108a的表面进行第一转换工艺,以于转移图案108a的表面上形成多个转换图案(conversionpattern)112。第一转换工艺例如是氧化工艺、氮化工艺、氮氧化工艺或金属硅化工艺(metal silicidation process)。转换图案112的材料例如是氧化硅、氮化硅、氮氧化硅、金属氧化物、金属氮化物、金属氮氧化物或金属硅化物。在此实施例中,对转移图案108a的表面进行例如是氧化工艺。当转移图案108a的材料例如为多晶硅时,转换图案112的材料例如为氧化硅。在此实施例中,由适当地控制氧化速度,可形成线宽L1约50埃的转移图案108a及线宽L2约50埃的转换图案112。转换图案112之间的间隙113的距离L3亦为50埃左右。另外,第一转换工艺还可以包括化学气相沉积工艺,用以进一步调整转换图案112的线宽。
之后,请参照图1D及2D,于转换图案112之间的间隙113中填入多个转移图案114。填入转移图案114的步骤包括于转换图案112上形成第一转移层(未绘示),以覆盖转换图案112的顶部及转换图案112之间的间隙113,接着,进行回蚀刻工艺或化学机械研磨工艺移除部份的第一转移层以露出转换图案112的顶部。第一转移层的材料包括多晶硅或金属。在此实施例中,第一转移层例如是多晶硅层。
继之,请参照图1E及2E,对转移图案114进行光刻工艺及蚀刻工艺,以定义转移图案114的衬垫115。在定义衬垫115的步骤中,部分的转移图案108a也会被移除,如图1E的A处所示。接着,进行蚀刻工艺,以移除转换图案112。如此一来,转移图案108a及转移图案114以交错配置的方式形成阵列。也就是说,由图1B至1E步骤中的自行对准工艺(self-aligned process),可于转移图案108a之间的间隙中形成转移图案114,且此阵列的线宽/线距约50/50纳米。
然后,请参照图1F及2F,对转移图案108a及转移图案114的表面进行第二转换工艺,以于转移图案108a及转移图案114的表面上形成多个转换图案116。第二转换工艺例如是氧化工艺、氮化工艺、氮氧化工艺或金属硅化工艺。转换图案116的材料例如是氧化硅、氮化硅、氮氧化硅、金属氧化物、金属氮化物、金属氮氧化物或金属硅化物。在此实施例中,对转移图案108a及转移图案114的表面进行例如是氧化工艺。当转移图案108a及转移图案114的材料例如为多晶硅时,转换图案116的材料例如为氧化硅。在此实施例中,由适当地控制氧化速度,可形成线宽L4约25埃的转移图案108a、线宽L5约25埃的转移图案114及线宽L6约25埃的转换图案116。转换图案116之间的间隙117的距离L7亦为25埃左右。另外,第二转换工艺还可以包括化学气相沉积工艺,用以进一步调整转换图案116的线宽。
之后,请参照图1G及2G,于转换图案116之间的间隙117中填入多个转移图案118。填入转移图案118的步骤包括于转换图案116上形成第二转移层(未绘示),以覆盖转换图案116的顶部及转换图案116之间的间隙117,接着,进行回蚀刻工艺或化学机械研磨工艺移除部份的第二转移层以露出转换图案116的顶部。第二转移层的材料包括多晶硅或金属。在此实施例中,第二转移层例如是多晶硅层。
继之,请参照图1H及2H,对转移图案118进行光刻工艺及蚀刻工艺,以定义转移图案118的衬垫119。接着,进行蚀刻工艺,以移除转换图案116。如此一来,转移图案108a、转移图案118、转移图案114及转移图案118以依序排列且重复的方式形成阵列。也就是说,由图1F至1H步骤中的自行对准工艺,可于转移图案108a及其相邻的转移图案114之间的间隙中形成转移图案118,且此阵列的线宽/线距约25/25纳米。
继之,请参照图1I及2I,以转移图案108a、转移图案114、及转移图案118为掩膜,移除部份的掩膜层106,以形成图案化掩膜层106a。然后,以图案化掩膜层106a为掩膜,移除部份的目标层104,以形成图案化材料层102a及图案化介电层101a。图案化介电层101a包括图案化ONO复合层121a、图案化电荷储存层122a及图案化绝缘层123a。
在上述的实施例中,是以各转移图案108a、各转移图案118及各转移图案114具有实质上相同的宽度为例来说明的,但本发明并不以此为限。在另一实施例中,各转移图案108a具有线宽为L8,各转移图案118具有线宽为L9,各转移图案114具有线宽为L10,且L8、L9及L10彼此不同。如图2H及2I所示,各转移图案108a定义第一图案124a,各转移图案118定义第二图案124b,及各转移图案114定义第三图案124c。因此,以一个第一图案124a、一个第二图案124b、一个第三图案124c及一个第二图案124b的顺序重复排列。
在上述的实施例中,是以叠层结构的目标层104(包括介电层101及材料层102)用来形成非挥发性存储器的栅极或字符线为例来说明的,但本发明并不以此为限。在另一实施例中(未绘示),目标层104也可以为单一层结构,例如仅由基底组成,因此,本发明的图案化的方法可以用来形成基底中的浅沟渠隔离(STI)结构。
另外,在上述的实施例中,是以材料层102的材料与转移图案108a、转移图案114、及转移图案118的材料相同(均为多晶硅)为例来说明的,但本发明并不以此为限。在另一实施例中,材料层102、转移图案108a、转移图案114、及转移图案118的材料也可以不同。举例来说,材料层102的材料为金属,但转移图案108a、转移图案114、及转移图案118的材料为多晶硅,或是材料层102的材料为多晶硅,但转移图案108a、转移图案114、及转移图案118的材料为金属,或是材料层及转移图案114的材料为多晶硅,但转移图案108a及转移图案118的材料为金属等等。另外,第一转换工艺及第二转换工艺也可以相同或不同,例如第一转换工艺为氧化工艺,但第二转换工艺为氮化工艺,也就是说,转换图案112和转换图案116可以相同或不同。如此一来,熟知本技术者可以视工艺需要,就材料层102、转移图案108a、转移图案114、及转移图案118、转换图案112和转换图案116的材料加以变化调整,其材料的排列组合于此不再赘述。
综上所述,本发明的图案化的方法由两次转换工艺及两次自行对准工艺,先于转移图案108a之间的间隙中形成转移图案114,再于转移图案108a及其相邻的转移图案114之间的间隙中形成转移图案118,因此,图案密度可以增加四倍,间距可以缩少到其原本长度的四分之一。也就是说,由本发明的四倍图案化的方法(quadruple patterning method),可以利用现有的机台及工艺来达到缩小间距至其原本长度的四分之一的目标。
举例来说,现今193纳米ArF激光的光刻分辨率约为40纳米。由于本发明的图案化的方法,可将其定义出来的图案密度增加四倍,间距缩少到其原本长度的四分之一,因此,制作出线宽/线距不超过25/25纳米,甚至是10/10纳米都是可行的。本发明的图案化的方法不需更换现有的机台和光刻胶,就可以使间距缩少到其原本长度的四分之一,大幅节省成本,提升竞争力。
此外,在本发明的图案化的方法中,由于定义衬垫115、119时的叠对规格(overlay specification)的要求并不若关键尺寸来得高,因此工艺空间也较为宽裕。
虽然本发明已以实施例揭露如上,然其并非用以限定本发明,任何所属技术领域中具有通常知识者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,故本发明的保护范围当视权利要求范围所界定的为准。

Claims (24)

1.一种图案化的方法,包括:
于一目标层上依序形成一掩膜层及多个第一转移图案;
对所述第一转移图案的表面进行一第一转换工艺,以于所述第一转移图案的表面上形成多个第一转换图案;
于所述第一转换图案之间的间隙中填入多个第二转移图案;
移除所述第一转换图案;
对所述第一转移图案及所述第二转移图案的表面进行一第二转换工艺,以于所述第一转移图案及所述第二转移图案的表面上形成多个第二转换图案;
于所述第二转换图案之间的间隙中填入多个第三转移图案;
移除所述第二转换图案;
以所述第一转移图案、所述第二转移图案及所述第三转移图案为掩膜,移除部份的该掩膜层,以形成一图案化掩膜层;以及
以该图案化掩膜层为掩膜,移除部份的该目标层。
2.如权利要求1所述的图案化的方法,其中该目标层为一基底。
3.如权利要求1所述的图案化的方法,其中该目标层为包括一基底及位于该基底上的一材料层的叠层结构。
4.如权利要求3所述的图案化的方法,其中该材料层的材料包括多晶硅或金属。
5.如权利要求3所述的图案化的方法,其中该材料层、所述第一转移图案、所述第二转移图案及所述第三转移图案的材料相同或不同。
6.如权利要求1所述的图案化的方法,其中所述第一转移图案、所述第二转移图案及所述第三转移图案的材料皆包括多晶硅或金属。
7.如权利要求1所述的图案化的方法,其中该掩膜层的材料包括四乙氧基硅氧烷形成的二氧化硅、硼磷硅玻璃、磷硅玻璃、氢化硅倍半转换物、氟硅玻璃或无掺杂硅玻璃。
8.如权利要求1所述的图案化的方法,其中该第一转换工艺及该第二转换工艺皆包括氧化工艺、氮化工艺、氮氧化工艺或金属硅化工艺。
9.如权利要求1所述的图案化的方法,其中所述第一转换图案及所述第二转换图案的材料相同或不同。
10.如权利要求1所述的图案化的方法,其中所述第一转换图案及所述第二转换图案的材料皆包括氧化硅、氮化硅、氮氧化硅、金属氧化物、金属氮化物、金属氮氧化物或金属硅化物。
11.如权利要求1所述的图案化的方法,其中于所述第一转换图案之间的间隙中填入所述第二转移图案的步骤包括:
于所述第一转换图案上形成一第一转移层;以及
移除部份的该第一转移层以露出所述第一转换图案的顶部。
12.如权利要求11所述的图案化的方法,其中移除部份的该第一转移层的步骤包括进行回蚀刻工艺或化学机械研磨工艺。
13.如权利要求1所述的图案化的方法,其中于所述第二转换图案之间的间隙中填入所述第三转移图案的步骤包括:
于所述第二转换图案上形成一第二转移层;以及
移除部份的该第二转移层以露出所述第二转换图案的顶部。
14.如权利要求13所述的图案化的方法,其中移除部份的该第二转移层的步骤包括进行回蚀刻工艺或化学机械研磨工艺。
15.如权利要求1所述的图案化的方法,其中移除所述第一转换图案的步骤及移除所述第二转换图案的步骤皆包括进行蚀刻工艺。
16.一种图案化的方法,包括:
于一目标层上依序形成一掩膜层及多个第一转移图案;
于所述第一转移图案之间的间隙中形成多个第二转移图案;
于所述第一转移图案与所述第二转移图案之间的间隙中形成多个第三转移图案;
以所述第一转移图案、所述第二转移图案及所述第三转移图案为掩膜,移除部份的该掩膜层,以形成一图案化掩膜层;以及
以该图案化掩膜层为掩膜,移除部份的该目标层。
17.如权利要求16所述的图案化的方法,其中该目标层为一基底。
18.如权利要求16所述的图案化的方法,其中该目标层包括一基底及位于该基底上的一材料层的叠层结构。
19.如权利要求18所述的图案化的方法,其中该材料层的材料包括多晶硅或金属。
20.如权利要求16所述的图案化的方法,其中所述第一转移图案、所述第二转移图案及所述第三转移图案的材料皆包括多晶硅或金属。
21.一种集成电路结构,包括:
一目标层,配置在一基底上且具有多个第一图案、多个第二图案及多个第三图案,其中各第一图案具有线宽为L1,各第二图案具有线宽为L2,各第三图案具有线宽为L3,且L1、L2及L3彼此不同,以一个第一图案、一个第二图案、一个第三图案、一个第二图案的顺序重复排列。
22.如权利要求21所述的集成电路结构,其中该目标层包括一介电层及位于该介电层上的一材料层的叠层结构。
23.如权利要求22所述的集成电路结构,其中该材料层的材料包括多晶硅或金属。
24.如权利要求23所述的集成电路结构,其中该介电层的材料包括氮化硅。
CN2010100014928A 2009-04-09 2010-01-12 图案化的方法及集成电路结构 Active CN101859697B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/421,071 US8026179B2 (en) 2009-04-09 2009-04-09 Patterning method and integrated circuit structure
US12/421,071 2009-04-09

Publications (2)

Publication Number Publication Date
CN101859697A true CN101859697A (zh) 2010-10-13
CN101859697B CN101859697B (zh) 2012-05-30

Family

ID=42933717

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010100014928A Active CN101859697B (zh) 2009-04-09 2010-01-12 图案化的方法及集成电路结构

Country Status (3)

Country Link
US (1) US8026179B2 (zh)
CN (1) CN101859697B (zh)
TW (1) TWI385713B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102693898A (zh) * 2011-03-21 2012-09-26 华邦电子股份有限公司 缩小间距的方法
CN103578917A (zh) * 2012-07-24 2014-02-12 中芯国际集成电路制造(上海)有限公司 一种用于缩小金属硬掩膜层的关键尺寸的方法
CN104347350A (zh) * 2013-07-26 2015-02-11 南亚科技股份有限公司 半导体自对准图案化的方法
CN107017155A (zh) * 2016-01-28 2017-08-04 Imec 非营利协会 使目标材料层图案化的方法
CN110534525A (zh) * 2018-05-24 2019-12-03 联华电子股份有限公司 半导体装置及其形成方法
CN115881549A (zh) * 2023-01-19 2023-03-31 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100994715B1 (ko) * 2008-12-31 2010-11-17 주식회사 하이닉스반도체 4중 패터닝을 이용한 반도체 소자의 미세 패턴 형성방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120062385A (ko) * 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101129936B1 (ko) * 2010-12-13 2012-03-23 주식회사 하이닉스반도체 라인 타입의 액티브 영역을 갖는 반도체 소자 및 그 제조 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8530350B2 (en) 2011-06-02 2013-09-10 Micron Technology, Inc. Apparatuses including stair-step structures and methods of forming the same
KR20130064290A (ko) * 2011-12-08 2013-06-18 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US8802574B2 (en) * 2012-03-13 2014-08-12 Globalfoundries Inc. Methods of making jogged layout routings double patterning compliant
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9177820B2 (en) 2012-10-24 2015-11-03 Globalfoundries U.S. 2 Llc Sub-lithographic semiconductor structures with non-constant pitch
US8584060B1 (en) 2012-11-16 2013-11-12 International Business Machines Corporation Block mask decomposition for mitigating corner rounding
US8828876B2 (en) 2013-01-09 2014-09-09 International Business Machines Corporation Dual mandrel sidewall image transfer processes
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9111591B2 (en) 2013-02-22 2015-08-18 Micron Technology, Inc. Interconnections for 3D memory
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508713B2 (en) 2014-03-05 2016-11-29 International Business Machines Corporation Densely spaced fins for semiconductor fin field effect transistors
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
US9425058B2 (en) * 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9673055B2 (en) 2015-02-04 2017-06-06 Globalfoundries Inc. Method for quadruple frequency FinFETs with single-fin removal
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9553088B1 (en) * 2015-09-24 2017-01-24 International Business Machines Corporation Forming semiconductor device with close ground rules
CN108780777B (zh) * 2016-02-02 2023-02-17 东京毅力科创株式会社 利用选择性沉积对金属和通孔进行自对准
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
WO2018132600A1 (en) * 2017-01-13 2018-07-19 Nthdegree Technologies Worldwide Inc. Printing complex electronic circuits using a printable solution defined by a patterned hydrophobic layer
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10566207B2 (en) * 2017-12-27 2020-02-18 Samsung Electronics Co., Ltd. Semiconductor manufacturing methods for patterning line patterns to have reduced length variation
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102608900B1 (ko) 2018-07-30 2023-12-07 삼성전자주식회사 반도체 소자 제조 방법
US10727058B2 (en) 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6759180B2 (en) * 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
KR101200938B1 (ko) * 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
KR100790999B1 (ko) * 2006-10-17 2008-01-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102693898A (zh) * 2011-03-21 2012-09-26 华邦电子股份有限公司 缩小间距的方法
CN102693898B (zh) * 2011-03-21 2016-02-24 华邦电子股份有限公司 缩小间距的方法
CN103578917A (zh) * 2012-07-24 2014-02-12 中芯国际集成电路制造(上海)有限公司 一种用于缩小金属硬掩膜层的关键尺寸的方法
CN104347350A (zh) * 2013-07-26 2015-02-11 南亚科技股份有限公司 半导体自对准图案化的方法
CN104347350B (zh) * 2013-07-26 2017-06-13 南亚科技股份有限公司 半导体自对准图案化的方法
CN107017155A (zh) * 2016-01-28 2017-08-04 Imec 非营利协会 使目标材料层图案化的方法
CN107017155B (zh) * 2016-01-28 2021-01-26 Imec 非营利协会 使目标材料层图案化的方法
CN110534525A (zh) * 2018-05-24 2019-12-03 联华电子股份有限公司 半导体装置及其形成方法
CN110534525B (zh) * 2018-05-24 2022-04-19 联华电子股份有限公司 半导体装置及其形成方法
CN115881549A (zh) * 2023-01-19 2023-03-31 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构
CN115881549B (zh) * 2023-01-19 2023-05-09 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Also Published As

Publication number Publication date
CN101859697B (zh) 2012-05-30
US20100258913A1 (en) 2010-10-14
US8026179B2 (en) 2011-09-27
TW201037756A (en) 2010-10-16
TWI385713B (zh) 2013-02-11

Similar Documents

Publication Publication Date Title
CN101859697B (zh) 图案化的方法及集成电路结构
CN101728332B (zh) 在集成电路器件中形成精细图案的方法
CN103367259B (zh) 半导体线路制作工艺
US20140273441A1 (en) Method for forming patterns of semiconductor device using sadp process
JP2006303488A (ja) 微細コンタクトを備える半導体素子及びその製造方法
JP2008166726A (ja) 半導体装置、およびその製造方法
US20120282751A1 (en) Methods of fabricating semiconductor devices including fine patterns
KR100833201B1 (ko) 콘택 플러그 및 배선 라인 일체형 구조의 미세 패턴을가지는 반도체 소자 및 그 제조 방법
CN109309091A (zh) 图案化方法
JP2002176055A (ja) 半導体装置及びその製造方法
KR100442867B1 (ko) 반도체 소자의 듀얼 다마신 구조 형성방법
KR20100001700A (ko) 반도체 소자 및 그 제조 방법
JP2006032912A (ja) 半導体素子の金属配線形成方法
JP2006245198A (ja) 半導体装置の製造方法
TW200818392A (en) Semiconductor device and method of manufacturing a semiconductor device
CN100437974C (zh) 导线的制造方法以及缩小导线与图案间距的方法
US7381652B2 (en) Method of manufacturing flash memory device
US7132364B2 (en) Method for forming metal interconnect of semiconductor device
JPH1079426A (ja) 層間コンタクトの形成方法及びその構造
CN113097067B (zh) 半导体器件及其制备方法
US10636658B1 (en) Methods of forming patterns, and methods of patterning conductive structures of integrated assemblies
KR100667915B1 (ko) 반도체 소자의 박막 레지스터 형성 방법
TWI506754B (zh) 積體電路圖案及方法
KR101019698B1 (ko) 반도체 소자의 비트라인 형성방법
KR100718794B1 (ko) 반도체 소자 및 그 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant