CN101681841B - 一种半导体结构及其制造方法 - Google Patents

一种半导体结构及其制造方法 Download PDF

Info

Publication number
CN101681841B
CN101681841B CN2008800160376A CN200880016037A CN101681841B CN 101681841 B CN101681841 B CN 101681841B CN 2008800160376 A CN2008800160376 A CN 2008800160376A CN 200880016037 A CN200880016037 A CN 200880016037A CN 101681841 B CN101681841 B CN 101681841B
Authority
CN
China
Prior art keywords
gate
gate dielectric
containing metal
dielectric
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800160376A
Other languages
English (en)
Other versions
CN101681841A (zh
Inventor
程慷果
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tessera Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101681841A publication Critical patent/CN101681841A/zh
Application granted granted Critical
Publication of CN101681841B publication Critical patent/CN101681841B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/926Dummy metallization

Abstract

本发明提供一种高k栅极介质/金属栅极MOSFET,其具有减少的寄生电容。所述新颖结构包括至少一个金属氧化物半导体场效应晶体管(MOSFET)100,其位于半导体衬底12的表面上。所述至少一个MOSFET 100包括栅极叠层,其从底部至顶部包括高k栅极介质28及含金属的栅极导体30。所述含金属的栅极导体30具有栅极拐角31,其位于含金属的栅极导体的基段处。此外,除了在栅极拐角31处之外,含金属的栅极导体30的垂直侧壁102A及102B不具有高k栅极介质28。栅极介质18横向邻接位于栅极拐角31处的高k栅极介质28,而栅极间隔物36横向邻接含金属的栅极导体30。栅极间隔物36位于栅极介质18和栅极拐角31处的高k栅极介质两者的上表面上。

Description

一种半导体结构及其制造方法
技术领域
本发明涉及一种半导体结构及其制造方法。更具体地,本发明涉及一种金属氧化物半导体场效应晶体管(MOSFET),其包含高介电常数(k)的栅极介质及具有减少的寄生电容的含金属的栅极导体。本发明还提供制造这种MOSFET的方法。
背景技术
在半导体产业中,含有高k栅极介质(介电常数大于4.0且典型大于7.0的栅极介质)及金属栅极的栅极叠层是最有希望用于缩放互补金属氧化物半导体(CMOS)的选项之一。
制造高k/金属栅极MOSFET的工艺方案之一为取代栅极法(replacement gate method)。在取代栅极工艺中,可使用牺牲栅极电极来制造MOSFET。在这类工艺中,首先形成牺牲栅极电极,随后,利用含有高k栅极介质及金属栅极的栅极叠层来取代该牺牲栅极电极。由于包含高k栅极介质及金属栅极的栅极叠层是在高温处理步骤(例如源极/漏极激活退火)之后形成,取代栅极工艺具有的优点为对高k栅极介质和金属栅极造成的损害最小。此外,大范围的金属可被选择作为栅极导体。
常规栅极取代工艺的其中一个严重缺点是导致高k栅极介质不仅出现在金属栅极下方,而且还出现在金属栅极的垂直侧壁上。
图1为现有技术的MOSFET的示意图,其包含栅极叠层,该叠层包含高k栅极介质及金属栅极,并使用如上文所提及的常规栅极取代工艺制造。具体地,图1示出了现有技术的MOSFET结构,其包括在其中具有源极/漏极扩散区1004的半导体衬底1000。半导体衬底1000还包含多个沟 槽隔离区1006,其被填充有沟槽介质材料。在半导体衬底1000的顶部,示出了以“U”的形状形成的高k栅极介质1008,以及位于U形高k栅极介质1008内的金属栅极1010。介质间隔物1012位于U形高k栅极介质1008的外部垂直侧壁上。图1所示结构还包括层间介质材料1020,在其中具有延伸至源极/漏极扩散区1004的上表面的接触过孔1022。层间介质材料1020通过介质间隔物1012与栅极叠层横向隔离。
金属栅极1010存在于U形高k栅极介质1008的垂直侧壁上会导致不希望的高的接触到栅极导体寄生电容。
与高k栅极介质相关的另一个问题是位于栅极拐角处的高k栅极介质(由图1所示的虚线圆形表示)可能因为厚度和/或化学成分的差异而不是理想的。常规的栅极再氧化工艺无法用来强化位于栅极拐角处的高k栅极介质,因为高k栅极介质被金属栅极和介质间隔物密封。位于栅极拐角处的不理想的高k栅极介质会导致高漏电以及低可靠性。
鉴于上述问题,需要一种新颖且改善的高k/金属栅极MOSFET,其具有减小的接触至栅极导体寄生电容和可选的改善的位于栅极拐角处的高k栅极介质。
发明内容
本发明提供一种高k栅极介质/金属栅极MOSFET,与使用常规的栅极取代工艺所制造的高k栅极介质/金属栅极MOSFET相比,其具有减少的接触至栅极导体(contact-to-gate conductor)寄生电容。与使用常规栅极取代工艺所制造的现有高k栅极介质/金属导体MOSFET相比,本发明可实现使接触至栅极导体寄生电容减少约10%或更多。
本发明还提供一种高k栅极介质/金属栅极MOSFET,其中在栅极拐角处存在改善的高k栅极介质。
在某些实施例中,本发明还提供一种高k栅极介质/金属栅极MOSFET,其包括位于金属栅极的垂直侧壁上的低k介质间隔物。所用的低k介质间隔物具有小于4,优选小于3.5的介电常数。低k介质间隔物 的存在帮助进一步降低所述接触至栅极导体寄生电容。
本发明还提供一种高k栅极介质/金属栅极MOSFET,其中位于该高k栅极介质/金属栅极叠层下方的沟道区具有约2μm或更小的长度。
概括地说,本发明提供一种半导体结构,其包含:
至少一个金属氧化物半导体场效晶体管(MOSFET),其位于半导体衬底的表面上,所述至少一个MOSFET包含栅极叠层,所述栅极叠层从底部至顶部包括高k栅极介质和含金属栅极导体,所述含金属栅极导体具有栅极拐角,所述栅极拐角位于所述含金属栅极导体的基段处,其中所述含金属栅极导体具有垂直侧壁,除了在所述栅极拐角处之外所述垂直侧壁缺少所述高k栅极介质;
栅极介质,其横向邻接存在于所述栅极拐角处的所述高k栅极介质;以及
栅极间隔物,其横向邻接所述含金属栅极导体,且位于所述栅极介质及存在于所述栅极拐角处的所述高k栅极介质两者的上表面上。
本发明结构还包含层间介质材料,其包含导电填充的接触过孔,所述接触过孔延伸至该半导体衬底的表面,所述衬底包含所述至少一个MOSFET的源极/漏极扩散区。
在本发明某些实施例中,本发明结构还可包含间隔物衬里,其存在于所述栅极间隔物与所述层间介质材料、所述含金属栅极导体、以及所述栅极介质与存在于所述栅极拐角处的所述高k栅极介质两者的上表面之间。
在本发明的另一实施例中,所述栅极间隔物为低k介质材料(介电常数小于4)。在其他的实施例中,所述栅极间隔物包括存在于其内部中的空隙,所述空隙降低该栅极间隔物的有效介电常数。
在本发明其他的实施例中,通过引入氧和/或氮至存在于该栅极拐角处的材料中,来强化存在于该栅极拐角处的该高k栅极介质。
除了上文提及的一般半导体结构外,本发明还提供一种半导体结构,其包括:
至少一个金属氧化物半导体场效应晶体管(MOSFET),其位于半导体衬底的表面上,所述至少一个MOSFET包含栅极叠层,所述栅极叠层 从底部至顶部包含高k栅极介质及含金属栅极导体,所述含金属栅极导体具有栅极拐角,所述栅极拐角位于所述含金属栅极导体的基段处,其中所述含金属栅极导体具有垂直侧壁,除了在所述栅极拐角处外所述垂直侧壁缺少所述高k栅极介质,与直接位于所述含金属栅极导体下方的所述高k栅极介质相比,位于所述栅极拐角处的所述高k栅极介质具有增强的接合;
栅极介质,其横向邻接存在于所述栅极拐角处的所述高k栅极介质;以及
栅极间隔物,其横向邻接所述含金属栅极导体,且位于所述栅极介质及存在于所述栅极拐角处的所述高k栅极介质两者的上表面上。
在另一实施例中,本发明提供一种半导体结构,包括:
至少一个金属氧化物半导体场效应晶体管(MOSFET),其位于半导体衬底的表面上,所述至少一个MOSFET包括栅极叠层,所述栅极叠层从底部至顶部包括高k栅极介质及含金属栅极导体,所述含金属栅极导体具有栅极拐角,所述栅极拐角位于所述含金属栅极导体的基段处,其中所述含金属栅极导体具有垂直侧壁,除了在所述栅极拐角处外所述垂直侧壁缺少所述高k栅极介质;
栅极介质,其横向邻接存在于所述栅极拐角处的所述高k栅极介质;以及
低k栅极间隔物,在其内部包含多个空隙,并且所述低k栅极间隔物横向邻接所述含金属栅极导体,且位于所述栅极介质及存在于所述栅极拐角处的所述高k栅极介质两者的上表面上。
除了上文所述的半导体结构外,本发明还提供制造这类半导体结构的方法。概括地说,本发明的方法包括:
提供一结构,所述结构包含位于半导体衬底上的牺牲栅极及栅极介质,所述结构还包括层间介质,所述层间介质位于所述半导体衬底上并且通过牺牲间隔物与所述牺牲栅极分隔开;
去除所述牺牲栅极以及所述栅极介质的未被所述牺牲间隔物保护的一部分,以形成开口,所述开口暴露出所述半导体衬底的表面;
在所述开口内形成U形高k栅极介质以及含金属栅极导体;
去除所述牺牲间隔物以暴露出横向邻接所述含金属栅极导体的侧壁的所述U形高k栅极介质的一部分;
从所述栅极侧壁去除与所述含金属栅极导体的侧壁横向邻接的所述高k栅极介质的基本上所有的暴露部分;以及
在先前包括所述牺牲间隔物和所述U形高k栅极介质的一部分的区域中形成栅极间隔物。
附图说明
图1为(通过截面图)示出利用常规栅极取代工艺所制造的现有技术的高k栅极介质/金属导体MOSFET的示意图。
图2A、2B及2C为(通过截面图)示出根据本发明实施例的高k栅极介质/含金属导体MOSFET的示意图。
图3A至3H为(通过截面图)示出可用来制造图2A至2C所示结构的基本处理步骤。
具体实施方式
本发明提供一种至少具有减少的接触至栅极导体寄生电容的高k栅极介质/含金属MOSFET及其制造方法;现将参照下列描述及伴随本申请的附图而更详细地描述本发明。应注意本申请的附图仅提供示范说明的目的,因此,该些附图并未按比例绘制。
在下列描述中,提出诸多特定细节,例如特定结构、成分、材料、尺寸、处理步骤及技术,以提供对本发明的彻底了解。不过,本领域普通技术人员将了解本发明可在无须遵照这些特定细节的情况下实行。在其它例子中,众所周知的结构或处理步骤并未详细描述,以避免与本发明混淆。
应理解,当提到例如层、区或衬底等组件位于另一组件“上”或“上方”时,其表示该组件可直接位于另一组件上,或是存在有介于中间的组件。相对地,当提到组件“直接位于另一组件上”或“直接位于另一组件上方”时,则表示没有任何介于中间的组件存在。还可了解到当提到组件 在另一组件“下”或“下方”时,其表示该组件可直接位于另一组件的下或直接位于另一组件下方,或是可存在介于中间的组件。相对地,当提到组件“直接位于另一组件下”或“直接位于另一组件下方”时,则表示没有任何介于中间的组件存在。
还观察到虽然下列描述和附图示出了一个高k栅极介质/含金属导体MOSFET,但本发明并未受限于此。相反,可利用本发明方法来形成多个高k栅极介质/含金属导体MOSFET。形成的多个高k栅极介质/含金属导体MOSFET可全都具有相同的导电性(即,nMOSFET或pMOSFET)。或者,多个高k栅极介质/含金属导体MOSFET可包括第一组MOSFET,其具有第一导电性(nMOSFET或pMOSFET);及第二组MOSFET,其具有与该第一导电性类型MOSFET不同的第二导电性。
如上文所述,本发明提供一种高k栅极介质/金属栅极MOSFET,与使用常规栅极取代工艺所制造的高k栅极介质/金属栅极MOSFET相比,其具有减少的接触至栅极导体寄生电容。
图2A至2C示出了本发明的各种不同结构的实施例。在图2A中,示出了本发明的第一实施例。图2A所示结构包括位于半导体衬底12的表面上的至少一个金属氧化物半导体场效应晶体管(MOSFET)100。至少一个MOSFET 100包括栅极叠层,该栅极叠层由底部至顶部包括高k栅极介质28及含金属栅极导体30。含金属栅极导体30具有位于该含金属栅极导体的基段处的多个栅极拐角31。该含金属栅极的基段在此处定义为栅极导体的垂直侧壁与栅极导体的底壁接触的区域。此外,含金属栅极导体30具有多个垂直侧壁102A和102B,除了在栅极拐角31处之外垂直侧壁102A和102B缺少高k栅极介质28;术语“垂直侧壁”用于表示与公共底壁垂直的侧壁,或这些侧壁可能具有倾斜度(tapering)。图2A中还示出了栅极介质18,其横向邻接位于栅极拐角31处的高k栅极介质28,以及栅极间隔物36,其横向邻接该含金属栅极导体30。栅极间隔物36位于栅极介质18及存在于栅极拐角31处的高k栅极介质28两者的上表面上。
本发明的新颖结构进一步包括层间介质材料24,其包含多个导电填充 的接触过孔40,该些接触过孔40延伸至半导体衬底12的表面,该衬底包括至少一个MOSFET 100的源极/漏极扩散区14。
在本发明某些实施例中,间隔物衬里34存在于栅极间隔物36与层间介质材料24之间、栅极间隔物36与含金属栅极导体30之间、以及栅极间隔物36与栅极介质18和存在于栅极拐角31处的高k栅极介质28两者的上表面之间。
参阅图2B,其示出了本发明的第二结构实施例,其包括如图2A所示的相同的基本组件,除了位于栅极拐角处的高k栅极介质相比于直接位于含金属栅极导体下方的高k栅极介质具有改善的接合之外。在图2B中,参考标号28’表示位于栅极拐角31处的具有改善的接合的高k栅极介质,而参考标号28表示直接位于含金属栅极导体30下方的高k栅极介质。
图2C说明本发明的第三结构实施例。具体地,图2C所示结构包括图2B中的相同组件,除了在栅极间隔物36的内部中含有空隙38之外。空隙38的存在降低了栅极间隔物36的有效介电常数。
现参照图3A至3H,其说明可用于制造图2A至2C所示的本发明结构的基本处理步骤。本发明的处理步骤包括首先形成常规MOSFET,其具有虚栅极(即,牺牲栅极)、栅极介质、牺牲间隔物以及层间介质。接着去除未被牺牲间隔物保护的牺牲栅极和栅极介质以形成开口,该开口暴露出半导体衬底的表面。在该开口内形成U形高k栅极介质,然后形成含金属栅极导体。接着去除牺牲间隔物,以暴露横向邻接该含金属栅极导体的侧壁的高k栅极介质的一部分。接着将横向邻接该含金属栅极导体的侧壁的高k栅极介质的暴露部分从栅极侧壁上去除,随后形成间隔物。
首先参照图3A,其示例可用于本发明中的初始半导体结构10。如图所示,初始半导体结构10包括半导体衬底12,其已经过处理而包括至少一个隔离区16和源极/漏极区14。硅化物层(未示出了)可存在于源极/漏极区的表面以降低电阻。半导体衬底12进一步包括栅极介质18,其位于衬底12的表面上。栅极介质18具有中心部分和外部边缘部分,该中心部分上具有牺牲栅极20,而这些外部边缘部分邻接该中心部分且在其上各 自具有牺牲间隔物22。在图3A中提供垂直虚线以示出在栅极介质的中心部分与栅极介质的外部部分之间的虚构边界。
图3A所示结构还包括层间介质材料24,其位于半导体衬底12的不包括栅极介质18、牺牲栅极20及牺牲间隔物22的部分上。如图所示,层间介质24的上表面与牺牲栅极20的上表面共平面。
图3A所示的半导体衬底12包含任何呈现半导体性质的材料。呈现半导体性质的材料的范例包含,但不限于,Si、SiGe、SiC、SiGeC、Ge、Ga、GaAs、InAs、InP及所有其它第III/V族或第II/VI族的化合物半导体。半导体衬底12还可包括有机半导体或成层半导体,例如,硅/硅锗、绝缘体上硅或绝缘体上硅锗。在本发明的某些实施例中,优选地,半导体衬底12包括含硅半导体材料,即,包含硅的半导体材料。除了上述类型的半导体衬底之外,用于本发明的半导体衬底12还可包括混合定向(HOT)的半导体衬底,其中该HOT衬底具有不同晶体取向的表面区。
半导体衬底12可经过掺杂、未经掺杂,或在其内包含掺杂区和未掺杂区。半导体衬底12可是应变的、非应变的、其内包含应变区和未应变区,或是包含拉伸应变区及压缩应变区。
图3A所示的各个隔离区16包括沟槽介质材料,例如氧化物,且可选地,包含衬里(liner),例如,氮化硅或氮氧化硅,其可存在于沟槽的侧壁上。在形成图3A所示的其它组件前,使用常规沟槽隔离工艺在半导体衬底12中形成沟槽隔离区16,该沟槽隔离工艺包括首先在半导体衬底12中蚀刻至少一个沟槽,可选地用沟槽衬里填充该至少一个沟槽,随后以沟槽介质材料填充该沟槽。在沟槽填充之后,使用常规平坦化工艺,例如,化学机械抛光和/或研磨,来提供平坦的结构。在某些实施例中,可在以沟槽介质材料填充该沟槽之后执行致密化工艺(densification)。
应注意,通常在有垫层(未示出)存在的条件下执行沟槽隔离区的形成工艺,且在半导体衬底12中形成了至少一个沟槽隔离区16之后从该结构去除该垫层。垫层包括氮化硅,并且可选地包含下伏的氧化硅。任何可选择性地去除垫层的常规剥离工艺可用来从结构去除垫层。
可选地,可通过其它众所周知的方法来形成各个隔离区16,例如,LOCOS(硅的局部氧化)。
在本发明的此处,可使用两种不同的处理序列中的一种来制造图3A所示的结构。在第一处理序列中,使用常规的CMOS处理流程,栅极介质18的均厚层(blanket layer)形成在包含在沟槽隔离区16顶部在内的半导体衬底12的整个表面上。栅极介质18的均厚层可通过常规的沉积工艺来形成,包括,例如化学气相沉积(CVD)、低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、蒸发、化学溶液沉积及原子层沉积(ALD)。可选地,热氧化、氮化或氮氧化法可用于形成栅极介质18的均厚层。栅极介质18的均厚层的厚度比随后将形成的高k栅极介质的厚度更厚。典型地,栅极介质18的厚度从约2纳米至约20纳米(nm),更典型者厚度为约3纳米至约10纳米。栅极介质18典型为半导体材料的氧化物,更典型为硅的氧化物。
在形成栅极介质18的均厚层之后,利用常规的沉积工艺形成牺牲栅极材料(例如,多晶硅或硅锗)的均厚层,常规的沉积工艺包括例如CVD、LPCVD、PECVD、蒸发、化学溶液沉积及物理气相沉积(PVD)。在沉积之后,牺牲栅极材料的均厚层(其形成牺牲栅极20)具有约20纳米至约200纳米的厚度,更典型为约50至约100纳米的厚度。
接着使用包含光刻和蚀刻的构图工艺来构图牺牲栅极材料的均厚层,使其成为图3A所示的牺牲栅极20。光刻步骤包含施加光致抗蚀剂至牺牲栅极材料均厚层的表面、将光致抗蚀剂暴露至期望的辐射图案,接着显影该已曝光的光致抗蚀剂。蚀刻步骤包括干法蚀刻或湿法蚀刻。优选地,利用干法蚀刻工艺,例如,反应离子蚀刻、离子束蚀刻及等离子体蚀刻。蚀刻工艺停止于栅极介质18的表面上。在蚀刻之后,利用常规光致抗蚀剂剥离工艺(例如,灰化)将该已曝光及显影的光致抗蚀剂从该结构上去除。
此时,源极/漏极区14被形成到半导体衬底12中。各源极/漏极区14可进一步包括源极/漏极扩展区,其与牺牲栅极20部分重叠。形成源极/漏极和源极/漏极扩展包括利用本领域中公知的离子注入工艺、间隔物形成及 掺杂剂激活退火。
接着,通过沉积和蚀刻而在牺牲栅极20的垂直侧壁上以及在栅极介质18的均厚层的表面上形成牺牲间隔物22。牺牲间隔物22由含氮化物的材料组成,例如,氮化硅或氮氧化硅。可在源极和漏极区形成之前或之后形成牺牲间隔物22。如果形成源极/漏极扩展,可在形成源极/漏极扩展之前或之后形成牺牲间隔物22。
接下来,利用牺牲间隔物22和牺牲栅极20作为蚀刻掩模来蚀刻栅极介质18的均厚层。
形成层间介质材料24的均厚层,以覆盖该结构的所有暴露表面。层间介质材料24利用任何常规的沉积工艺来形成,包含例如,化学气相沉积(CVD)、低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、次大气压化学气相沉积(SACVD)、蒸发、化学溶液沉积及旋转涂布。层间介质材料24包括任何常规的介质材料,例如,二氧化硅、硅酸盐玻璃、倍半硅氧烷(silsesquioxane)、包含硅、碳、氧及氢原子的有机硅酸盐,以及热固性聚芳撑醚。使用术语“聚芳撑(polyarylene)”代表通过化学键、稠环、或例如氧、硫、砜、亚砜、羰基等的惰性链接基团链接在一起的芳基部分。
接着使用平坦化工艺,例如化学机械抛光和/或研磨,来形成共面结构,如图3A所示。
在另一处理序列中,通过首先处理衬底12,使其包含隔离区16而形成图3A所示的初始结构。接下来,形成层间介质材料24,且使用光刻及蚀刻来限定位于介质材料中的至少一个开口,该开口暴露出半导体衬底12的表面。源极/漏极区14可在此时形成,随后则在开口底部形成栅极介质18。接下来,牺牲间隔物22形成在已构图的层间介质材料24的暴露的侧壁上,接着形成牺牲栅极20。接着,典型地使用平坦化工艺来提供图3A图所示的共面结构。本发明的此实施例典型地用于形成器件沟道,其长度约为2μm或更小。
在提供第3A图所示的初始结构10后,将牺牲栅极20和直接位于牺 牲栅极20下方的牺牲栅极介质18从该结构上去除。去除牺牲栅极20和牺牲栅极20下方的栅极介质18提供开口26,其暴露出半导体衬底12的表面。例如,图3B示出了在执行本发明的此步骤之后所形成的结构。根据牺牲栅极20和栅极介质18的材料,各种适当的工艺可用于去除这些材料。举例来说,使用包含基于氨的化学剂的蚀刻剂的湿法蚀刻工艺,或干法蚀刻工艺(例如使用包含氟化硫的蚀刻剂的等离子体蚀刻),可用于去除包括多晶硅的牺牲栅极20。使用氢氟酸蚀刻剂的湿法蚀刻工艺可用于去除包括氧化硅的栅极介质18。
接下来,在开口26内部形成U形高k栅极介质28,随后在U形高k栅极介质28的暴露表面上形成含金属导体30,从而提供,例如图3C所示的结构。U形高k栅极介质28包括任何介电常数大于4.0,典型大于7.0的介质材料。这类高k栅极介质材料的范例包含,但不受限于,TiO2、Al2O3、ZrO2、HfO2、Ta2O5、La2O3、混合的金属氧化物,例如钙钛矿型氧化物(perovskite-type oxide)及其组合物与多层。前述金属氧化物的硅酸盐及氮化物也可作为高k栅极介质材料。可选择地,第一界面层(未示出)可形成在U形高k栅极介质28与衬底12之间的界面处,以改善器件特性,例如,减少界面陷阱。第一界面层,如果存在,可包括氧化硅、氮化硅或氮氧化物,其可通过热氧化、化学氧化、热氮化及化学氮化来形成。此外,可在形成含金属栅极导体30之前,在U形高k介质28的顶部或内部沉积第二界面层(未示出)。第二界面层(如果存在)可通过调整功函数和/或稳定平带电压和阈值电压以有助于器件特性最佳化。第二界面层(如果存在)包括含稀土的层,其包括La2O3、LaN或任何其它适当的材料。U形高k栅极介质28可通过常规的沉积工艺来形成,包含,但不受限于,原子层沉积(ALD)、化学气相沉积(CVD)、低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、快速热化学气相沉积(RTCVD)、限制反应处理CVD(LRPCVD)、超高真空化学气相沉积(UHVCVD)、金属有机化学气相沉积(MOCVD)、分子束外延(MBE)、物理气相沉积、离子束沉积、电子束沉积及激光辅助沉积。
U形高k栅极介质28的厚度小于栅极介质18的剩余外部部分的厚度。典型地,U形高k栅极介质28具有约1纳米至约20纳米的厚度,更典型为约2纳米至约10纳米的厚度。
含金属栅极导体30利用常规的沉积工艺来形成,例如,原子层沉积(ALD)、化学气相沉积(CVD)、金属有机化学气相沉积(MOCVD)、分子束外延(MBE)、物理气相沉积、溅射、镀敷、蒸发、离子束沉积、电子束沉积、激光辅助沉积及化学溶液沉积。含金属栅极导体30包含导电金属,例如,但不受限于,Al、W、Cu、Pt、Ag、Au、Ru、Ir、Rh及Re、导电金属的合金,例如Al-Cu、导电金属的硅化物,例如硅化钨和硅化铂、导电金属的氮化物,例如AlN,以及其组合和多层。常规的平坦化工艺,例如化学机械抛光(CMP),可用于去除任何沉积在层间介质24与牺牲间隔物22顶部的含金属栅极导体30。常规的蚀刻工艺,例如湿法蚀刻或干法蚀刻,可用于去除任何沉积在层间介质24与牺牲间隔物22顶部的U形高k栅极介质。
接下来,如图3D所示,将牺牲间隔物22从结构上去除,暴露出栅极介质18的剩余的外部部分、U形高k栅极介质28的外部侧壁以及层间介质材料24的侧壁。牺牲间隔物22利用蚀刻工艺去除,该工艺会相对于层间介质材料24和剩余的栅极介质18而选择性地去除牺牲间隔物22的材料。这样的可以使用的蚀刻工艺的范例包括使用含有磷酸或氢氟酸和乙二醇(HF/EG)的混合物的蚀刻剂的湿法蚀刻工艺,以去除由氮化硅形成的牺牲间隔物22。可选地,当牺牲间隔物22包含氮化硅时,其可通过例如化学下游蚀刻(CDE)的干法蚀刻工艺来去除。
图3E示出了在从基本上所有的含金属栅极导体30的垂直侧壁去除U形高k栅极介质28之后所形成的结构。存在较厚的栅极介质18可确保在不会使含金属栅极导体30下方的高k栅极介质材料产生底切的情况下,基本上从含金属栅极侧壁完全去除高k栅极材料。基本上从含金属栅极导体30的垂直侧壁去除所有的高k栅极介质材料可以减少接触至栅极导体电容。
应注意到,某部分的U形高k栅极介质28仍保留在含金属的栅极导体30的垂直侧壁上,覆盖在含金属栅极导体30的基段处的栅极拐角。在图3E中,以参考标号31标明栅极拐角。在本发明中,保留在栅极拐角31处的高k栅极材料的高度小于剩余的栅极介质18的高度。
从基本上含金属栅极导体30的所有垂直侧壁去除U形高k栅极介质28利用蚀刻工艺来执行,相对于其它暴露于蚀刻工艺中的材料而言,该工艺选择性地去除高k栅极介质材料。这样的蚀刻工艺的范例包括硼-卤素等离子体,其包含硼-卤素化合物(例如,BCl3)和氮气。可选地,可在去除牺牲间隔物22时,同时从含金属栅极导体30的垂直侧壁去除高k栅极介质28。
在本发明的某些实施例中,可强化未受含金属栅极导体30保护且邻接栅极拐角31的剩余的U形高k栅极介质28的一部份,以减少存在于栅极拐角31处的泄漏和可靠性问题。当使用高k栅极介质材料时,由于应力和/或薄膜沉积的缘故,可使得在栅极拐角处增加泄漏电流并减少可靠性。剩余的U形高k栅极介质28的强化区在图3F中被标示为28’。
在本发明中,通过利用低能量(约20KeV的量级或更小)氧离子和/或氮离子注入工艺实现强化。所用的氧和/或氮离子的剂量范围典型为约1E12至约1E15原子/cm2,而更典型的剂量范围为约1E13至约1E14原子/cm2。可选地,可使用低温氧化、氮化或氮氧化工艺(温度约950℃或更小),来产生在栅极拐角31处的上述高k栅极材料的强化。执行上述技术中的一种的净效果为改善高k栅极介质材料中的化学接合。
此处提及,在本发明中,直接位于栅极导体下方的高k栅极介质28具有高度h3,该高度h3小于位于栅极拐角处的剩余的高k栅极介质的高度h2,且位于栅极拐角处的剩余的高k栅极介质的高度h2基本上等于或小于剩余的栅极介质18的高度h1。换言之,栅极介质具有第一高度,存在于栅极拐角处的高k栅极介质具有第二高度,且直接位于该含金属栅极导体下方的高k栅极介质具有第三高度,其中该第一高度基本上等于或大于该第二高度,而该第二高度大于该第三高度。
剩余的附图示出了位于栅极拐角31处的强化后的高k栅极介质区28’。虽然这被示出在剩余的附图中,但若省略该可选的强化步骤时,仍可使用后续的处理步骤。
图3G示出了,在去除基本上所有的位于含金属栅极导体30的侧壁上的高k栅极材料之后,在当去除牺牲间隔物22时所产生的空间内形成可选的间隔物衬里34和栅极间隔物36之后所形成的结构。该可选的间隔物衬里34包括第一介质材料,其与用来形成栅极间隔物36的第二介质材料不相同。典型地,间隔物衬里34包括氮化硅,而栅极间隔物36包括二氧化硅。在某些实施例中,栅极间隔物36为低k(介电常数小于4.0)介质材料,例如,包含至少硅、碳、氧及氢原子的有机硅酸盐,而间隔物衬里34包括氧化硅。
可选的间隔物衬里34在沉积后具有约1纳米至约10纳米的厚度,而更典型为约2至5纳米。可选的间隔物衬里34可由沉积工艺形成,例如,原子层沉积(ALD)、化学气相沉积(CVD)、低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、次大气压化学气相沉积(SACVD)、快速热化学气相沉积(RTCVD)、高温氧化物沉积(HTO)、低温氧化物沉积(LTO)、限制反应处理CVD(LRPCVD)、旋转涂布、化学溶液沉积或任何其它适当的工艺。栅极间隔物36由任何适当的沉积工艺形成,例如,CVD及旋转涂布。此处指出栅极间隔物36的底部表面位于剩余的栅极介质18和存在于栅极拐角31处的高k栅极介质两者的顶部上方或直接位于其上。在常规结构中,栅极间隔物直接位于半导体衬底的表面上。
在本发明某些取决于要形成栅极间隔物36的空间的几何形状以及所使用的沉积工艺的实施例中,空隙38(见图2C)可存在于栅极间隔物36的内部部分中。空隙的存在具有进一步减少本发明中所用的栅极间隔物36的有效介电常数的效果。
图3H示出了出在层间介质材料24中形成导电填充的接触过孔40之后的结构,其中这些接触过孔40延伸至包括源极/漏极扩散区14的半导体 衬底12的表面。可利用光刻、蚀刻并且以导电材料填充所形成的接触过孔来形成导电填充的接触过孔40。可选地,可在以导电材料填充过孔之前,在接触过孔的暴露的侧壁上形成扩散阻挡层,例如TiN或TaN。用于填充接触开口的导电材料包括任何导电材料,其包括任一种可用于形成含金属栅极导体30的导电材料。
在本发明的某些实施例中,可在从含金属栅极导体30的垂直侧壁上去除高k栅极介质28和牺牲间隔物22之前,在层间介质材料24中形成导电填充的接触过孔40。
虽然已参照优选实施例具体示出并描述了本发明,但本领域的普通技术人员将了解可在不偏离本发明精神和范围的情况下做出形式和细节上的前述及其它变化。因而,其意指本发明并不局限于所描述和示出的确切形式与细节,而是落入在所附权利要求的范围内。
工业适用性
本发明发现了在集成电路芯片中的MOSFET半导体器件的设计和制造中的工业适用性,其发现了在大范围电子和电气装置中的应用。

Claims (33)

1.一种半导体结构,包括:
至少一个金属氧化物半导体场效应晶体管(100),其位于半导体衬底(12)的表面上,所述至少一个金属氧化物半导体场效应晶体管包括栅极叠层,所述栅极叠层从底部至顶部包括高k栅极介质(28)和含金属栅极导体(30),所述含金属栅极导体(30)具有栅极拐角(31),所述栅极拐角(31)位于所述含金属栅极导体(30)的基段处,其中所述含金属栅极导体具有垂直侧壁(102A、102B),除了在所述栅极拐角处之外所述垂直侧壁缺少所述高k栅极介质(28);
栅极介质(18),其横向邻接存在于所述栅极拐角(31)处的所述高k栅极介质(28);以及
栅极间隔物(36),其横向邻接所述含金属栅极导体(30),且位于所述栅极介质(18)及存在于所述栅极拐角(31)处的所述高k栅极介质两者的上表面上。
2.如权利要求1所述的半导体结构,还包含层间介质材料,其具有导电填充的接触过孔,所述接触过孔延伸至包括所述至少一个金属氧化物半导体场效应晶体管的源极区与漏极区的所述半导体衬底的表面。
3.如权利要求2所述的半导体结构,还包括间隔物衬里,所述间隔物衬里存在于所述栅极间隔物与所述层间介质材料之间、所述栅极间隔物与所述含金属栅极导体之间、以及所述栅极间隔物与所述栅极介质和存在于所述栅极拐角处的所述高k栅极介质两者的上表面之间。
4.如权利要求1所述的半导体结构,其中所述栅极间隔物为低k介质材料,其具有小于4的介电常数。
5.如权利要求1所述的半导体结构,其中所述栅极间隔物包括空隙,其存在于所述栅极间隔物的内部,所述空隙降低所述栅极间隔物的有效介电常数。
6.如权利要求1所述的半导体结构,其中所述栅极介质具有第一高度, 存在于所述栅极拐角处的所述高k栅极介质具有第二高度,以及直接位于所述含金属栅极导体下方的所述高k栅极介质具有第三高度,其中所述第一高度基本上等于或大于所述第二高度,而所述第二高度大于所述第三高度。
7.如权利要求1所述的半导体结构,其中与直接位于所述含金属栅极导体下方的所述高k栅极介质相比,位于所述栅极拐角处的所述高k栅极介质具有增强的接合。
8.如权利要求1所述的半导体结构,其中所述高k栅极介质包括下列其中一个:TiO2、Al2O3、ZrO2、HfO2、Ta2O5、La2O3、钙钛矿型氧化物、及TiO2、Al2O3、ZrO2、HfO2、Ta2O5、La2O3、钙钛矿型氧化物的硅酸盐或氮化物。
9.如权利要求1所述的半导体结构,其中所述含金属栅极导体为导电金属、导电金属硅化物、及导电金属氮化物其中之一。
10.如权利要求9所述的半导体结构,其中所述导电金属包括导电金属合金。
11.一种半导体结构,包括:
至少一个金属氧化物半导体场效应晶体管(100),其位于半导体衬底(12)的表面上,所述至少一个金属氧化物半导体场效应晶体管(100)包括栅极叠层,所述栅极叠层从底部至顶部包括高k栅极介质(28)和含金属栅极导体(30),所述含金属栅极导体(30)具有栅极拐角(31),所述栅极拐角(31)位于所述含金属栅极导体(30)的基段处,其中所述含金属栅极导体具有垂直侧壁(102A、102B),除了在所述栅极拐角处之外所述垂直侧壁缺少所述高k栅极介质(28),与直接位于所述含金属栅极导体(30)下方的所述高k栅极介质(28)相比,位于所述栅极拐角(31)处的所述高k栅极介质(28)具有增强的接合;
栅极介质(18),其横向邻接存在于所述栅极拐角(31)处的所述高k栅极介质(28);以及
栅极间隔物(36),其横向邻接所述含金属栅极导体(30),且位于 所述栅极介质(18)及存在于所述栅极拐角(31)处的所述高k栅极介质(28)两者的上表面上。
12.如权利要求11所述的半导体结构,还包括层间介质材料,其具有导电填充的接触过孔,所述接触过孔延伸至包括所述至少一个金属氧化物半导体场效应晶体管的源极区与漏极区的所述半导体衬底的表面。
13.如权利要求12所述的半导体结构,还包含间隔物衬里,所述间隔物衬里存在于所述栅极间隔物与所述层间介质材料之间、所述栅极间隔物与所述含金属栅极导体之间、以及所述栅极间隔物与所述栅极介质和存在于所述栅极拐角处的所述高k栅极介质两者的上表面之间。
14.如权利要求11所述的半导体结构,其中所述栅极间隔物为低k介质材料,其具有小于4的介电常数。
15.如权利要求11所述的半导体结构,其中所述栅极间隔物包含空隙,其存在于所述栅极间隔物的内部,所述空隙降低所述栅极间隔物的有效介电常数。
16.如权利要求11所述的半导体结构,其中所述栅极介质具有第一高度,存在于所述栅极拐角处的所述高k栅极介质具有第二高度,以及直接位于所述含金属栅极导体下方的所述高k栅极介质具有第三高度,其中所述第一高度基本上等于或大于所述第二高度,而所述第二高度大于所述第三高度。
17.如权利要求11所述的半导体结构,其中所述高k栅极介质包括下列其中一个:TiO2、Al2O3、ZrO2、HfO2、Ta2O5、La2O3、钙钛矿型氧化物、及TiO2、Al2O3、ZrO2、HfO2、Ta2O5、La2O3、钙钛矿型氧化物的硅酸盐或氮化物。
18.如权利要求11所述的半导体结构,其中所述含金属栅极导体为导电金属、导电金属硅化物、及导电金属氮化物其中之一。
19.如权利要求18所述的半导体结构,其中所述导电金属包括导电金属合金。
20.一种半导体结构,包括: 
至少一个金属氧化物半导体场效应晶体管(100),其位于半导体衬底的表面上,所述至少一个金属氧化物半导体场效应晶体管(100)包括栅极叠层,所述栅极叠层从底部至顶部包括高k栅极介质(28)和含金属栅极导体(30),所述含金属栅极导体(30)具有栅极拐角(31),所述栅极拐角(31)位于所述含金属栅极导体(30)的基段处,其中所述含金属栅极导体(30)具有垂直侧壁(102A、102B),除了在所述栅极拐角处之外所述垂直侧壁缺少所述高k栅极介质(28);
栅极介质(18),其横向邻接存在于所述栅极拐角(31)处的所述高k栅极介质(28);以及
低k栅极间隔物(36),在其内部包含多个空隙,并且所述低k栅极间隔物横向邻接所述含金属栅极导体(30),且位于所述栅极介质及存在于所述栅极拐角(31)处的所述高k栅极介质(28)两者的上表面上。
21.如权利要求20所述的半导体结构,还包含层间介质材料,其具有导电性填充的接触过孔,所述接触过孔延伸至包括所述至少一个金属氧化物半导体场效应晶体管的源极区与漏极区的所述半导体衬底的表面。
22.如权利要求21所述的半导体结构,还包含间隔物衬里,所述间隔物衬里存在于所述栅极间隔物与所述层间介质材料之间、所述栅极间隔物与所述含金属栅极导体、以及所述栅极间隔物与所述栅极介质和存在于所述栅极拐角处的所述高k栅极介质两者的上表面之间。
23.如权利要求20所述的半导体结构,其中所述栅极介质具有第一高度,存在于所述栅极拐角处的所述高k栅极介质具有第二高度,以及直接位于所述含金属栅极导体下方的所述高k栅极介质具有第三高度,其中所述第一高度基本上等于或大于所述第二高度,而所述第二高度大于所述第三高度。
24.如权利要求20所述的半导体结构,其中所述高k栅极介质包括下列其中一个:TiO2、Al2O3、ZrO2、HfO2、Ta2O5、La2O3、钙钛矿型氧化物、及TiO2、Al2O3、ZrO2、HfO2、Ta2O5、La2O3、钙钛矿型氧化物的硅酸盐或氮化物。 
25.如权利要求20所述的半导体结构,其中所述含金属栅极导体为导电金属、导电金属硅化物及导电金属氮化物的其中之一。
26.如权利要求25所述的半导体结构,其中所述导电金属包括导电金属合金。
27.如权利要求20所述的半导体结构,其中与直接位于所述含金属栅极导体下方的所述高k栅极介质相比,位于所述栅极拐角处的所述高k栅极介质具有增强的接合。
28.一种形成半导体结构的方法,其包括:
提供结构,所述结构包括位于半导体衬底上的牺牲栅极和栅极介质,所述结构还包括层间介质,所述层间介质位于所述半导体衬底上并通过牺牲间隔物与所述牺牲栅极分隔开;
去除所述牺牲栅极和所述栅极介质的未被所述牺牲间隔物保护的一部分,以形成开口,所述开口暴露出所述半导体衬底的表面;
在所述开口内形成U形高k栅极介质和含金属栅极导体;
去除所述牺牲间隔物以暴露出横向邻接所述含金属栅极导体的侧壁的所述U形高k栅极介质的一部分;
从所述栅极侧壁去除与所述含金属栅极导体的侧壁横向邻接的所述高k栅极介质的基本上所有的暴露部分;以及
在先前包括所述牺牲间隔物和所述U形高k栅极介质的一部分的区域中形成栅极间隔物,从而提供至少一个金属氧化物半导体场效应晶体管,所述至少一个金属氧化物半导体场效应晶体管包括栅极叠层,所述栅极叠层从底部至顶部包括所述高k栅极介质和所述含金属栅极导体,所述含金属栅极导体具有栅极拐角,所述栅极拐角位于所述含金属栅极导体的基段处,其中所述含金属栅极导体具有垂直侧壁,除了在所述栅极拐角处之外所述垂直侧壁缺少所述高k栅极介质,其中所述栅极介质横向邻接存在于所述栅极拐角处的所述高k栅极介质,以及所述栅极间隔物横向邻接所述含金属栅极导体且位于所述栅极介质及存在于所述栅极拐角处的所述高k栅极介质两者的上表面上。 
29.如权利要求28所述的方法,其中所述方法还包括形成所述层间介质,其具有导电性填充的接触过孔,所述接触过孔延伸至包括所述至少一个金属氧化物半导体场效应晶体管的源极区与漏极区的所述半导体衬底的表面。
30.如权利要求29所述的方法,还包含形成间隔物衬里,所述间隔物衬里存在于所述栅极间隔物与所述层间介质之间、所述栅极间隔物与所述含金属栅极导体之间、以及所述栅极间隔物与所述栅极介质和位于所述含金属栅极导体的拐角处的所述高k栅极介质两者的上表面之间。
31.如权利要求29所述的方法,还包括强化所述高k栅极介质位于所述含金属栅极导体的栅极拐角处的一部分,使得与直接位于所述含金属栅极导体下方的所述高k栅极介质相比,增加了位于所述含金属栅极导体的栅极拐角处的所述高k栅极介质中的接合。
32.如权利要求31所述的方法,其中所述强化步骤通过离子注入氧离子和氮离子中的一种或通过热工艺来获得。
33.如权利要求29所述的方法,其中形成所述栅极间隔物包括在所述栅极间隔物的内部区域中形成空隙。 
CN2008800160376A 2007-06-27 2008-06-04 一种半导体结构及其制造方法 Active CN101681841B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/769,150 US7585716B2 (en) 2007-06-27 2007-06-27 High-k/metal gate MOSFET with reduced parasitic capacitance
US11/769,150 2007-06-27
PCT/US2008/065744 WO2009002670A1 (en) 2007-06-27 2008-06-04 High-k/metal gate mosfet with reduced parasitic capacitance

Publications (2)

Publication Number Publication Date
CN101681841A CN101681841A (zh) 2010-03-24
CN101681841B true CN101681841B (zh) 2011-09-07

Family

ID=40159351

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800160376A Active CN101681841B (zh) 2007-06-27 2008-06-04 一种半导体结构及其制造方法

Country Status (6)

Country Link
US (2) US7585716B2 (zh)
EP (1) EP2160757B1 (zh)
KR (1) KR20100108190A (zh)
CN (1) CN101681841B (zh)
TW (1) TW200924196A (zh)
WO (1) WO2009002670A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106549059A (zh) * 2015-09-18 2017-03-29 台湾积体电路制造股份有限公司 半导体结构及其制造方法

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4548521B2 (ja) * 2008-07-09 2010-09-22 ソニー株式会社 半導体装置の製造方法及び半導体装置
US7838373B2 (en) * 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
JP5487625B2 (ja) * 2009-01-22 2014-05-07 ソニー株式会社 半導体装置
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8476145B2 (en) * 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8481397B2 (en) * 2010-03-08 2013-07-09 International Business Machines Corporation Polysilicon resistor and E-fuse for integration with metal gate and high-k dielectric
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102315125A (zh) * 2010-07-01 2012-01-11 中国科学院微电子研究所 一种半导体器件及其形成方法
CN102347357B (zh) * 2010-07-30 2013-11-06 中国科学院微电子研究所 Mosfet结构及其制作方法
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
CN102386217B (zh) * 2010-09-01 2014-02-05 中芯国际集成电路制造(上海)有限公司 栅极堆叠结构及其制作方法
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
CN102479812B (zh) * 2010-11-22 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
CN102479746B (zh) * 2010-11-29 2013-11-20 中芯国际集成电路制造(上海)有限公司 减少金属栅电极和接触孔之间寄生电容的方法
CN102487015A (zh) * 2010-12-03 2012-06-06 中国科学院微电子研究所 一种半导体结构及其制造方法
DE102010063780A1 (de) * 2010-12-21 2012-06-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN102646589B (zh) * 2011-02-17 2015-01-07 中芯国际集成电路制造(上海)有限公司 一种mosfet制造方法
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8519487B2 (en) * 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8349674B2 (en) 2011-03-28 2013-01-08 International Business Machines Corporation Forming borderless contact for transistors in a replacement metal gate process
CN102737996B (zh) * 2011-04-02 2016-03-02 中国科学院微电子研究所 一种制作晶体管和半导体器件的方法
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8809962B2 (en) * 2011-08-26 2014-08-19 Globalfoundries Inc. Transistor with reduced parasitic capacitance
CN102306660A (zh) * 2011-09-08 2012-01-04 上海华力微电子有限公司 一种mos器件结构及其制备方法
US8357978B1 (en) * 2011-09-12 2013-01-22 Globalfoundries Inc. Methods of forming semiconductor devices with replacement gate structures
US9337303B2 (en) 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US20130075831A1 (en) * 2011-09-24 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having tialn blocking/wetting layer
US9337192B2 (en) 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TaAlCN layer
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
CN103187293B (zh) 2011-12-31 2015-10-14 中芯国际集成电路制造(北京)有限公司 半导体器件的制作方法
CN103187294B (zh) * 2011-12-31 2016-06-22 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9034701B2 (en) * 2012-01-20 2015-05-19 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US9059321B2 (en) * 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
KR102343715B1 (ko) * 2012-07-20 2021-12-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제조 방법
KR101967614B1 (ko) * 2012-07-20 2019-04-10 삼성전자 주식회사 반도체 소자 제조 방법
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8524592B1 (en) * 2012-08-13 2013-09-03 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and low-k spacers and the resulting devices
CN103594365B (zh) 2012-08-14 2016-06-29 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的形成方法
KR101921465B1 (ko) 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9064948B2 (en) * 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US8772101B2 (en) * 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9190486B2 (en) 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
CN103839813B (zh) * 2012-11-21 2017-05-17 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其形成方法
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US20140209984A1 (en) * 2013-01-31 2014-07-31 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor Device With Multi Level Interconnects And Method Of Forming The Same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US8969149B2 (en) 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
FR3011386B1 (fr) * 2013-09-30 2018-04-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor mos a espaceurs d'air
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9129987B2 (en) * 2014-01-24 2015-09-08 Global Foundries, Inc. Replacement low-K spacer
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR102200928B1 (ko) 2014-02-18 2021-01-11 삼성전자주식회사 낮은 기생 커패시턴스 성분을 갖는 트랜지스터와 이의 제조 방법
US9543407B2 (en) 2014-02-27 2017-01-10 International Business Machines Corporation Low-K spacer for RMG finFET formation
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9257289B2 (en) 2014-03-05 2016-02-09 International Business Machines Corporation Lowering parasitic capacitance of replacement metal gate processes
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10050118B2 (en) * 2014-05-05 2018-08-14 Globalfoundries Inc. Semiconductor device configured for avoiding electrical shorting
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9472628B2 (en) * 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US10084060B2 (en) * 2014-08-15 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9443738B2 (en) * 2015-02-06 2016-09-13 Globalfoundries Inc. Integrated circuits with middle of line capacitance reduction in self-aligned contact process flow and fabrication methods
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US9559184B2 (en) 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
EP3326206B1 (en) * 2015-07-17 2021-11-24 Intel Corporation Method of manufacturing a transistor with airgap spacer
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US9722038B2 (en) * 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10141417B2 (en) * 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US9853110B2 (en) * 2015-10-30 2017-12-26 Globalfoundries Inc. Method of forming a gate contact structure for a semiconductor device
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9660050B1 (en) 2015-11-25 2017-05-23 International Business Machines Corporation Replacement low-k spacer
FR3049110B1 (fr) 2016-03-21 2018-06-15 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un transistor a effet de champ a capacite parasite reduite
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9741850B1 (en) * 2016-08-12 2017-08-22 United Microelectronics Corp. Semiconductor device and method for forming the same
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10727297B2 (en) * 2016-09-12 2020-07-28 Samsung Electronics Co., Ltd. Complimentary metal-oxide-semiconductor circuit having transistors with different threshold voltages and method of manufacturing the same
US9721897B1 (en) * 2016-09-27 2017-08-01 International Business Machines Corporation Transistor with air spacer and self-aligned contact
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10256143B2 (en) * 2016-12-14 2019-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement contacts
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US9825143B1 (en) * 2017-01-09 2017-11-21 International Business Machines Corporation Single spacer tunnel on stack nanowire
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10096692B1 (en) 2017-04-05 2018-10-09 International Business Machines Corporation Vertical field effect transistor with reduced parasitic capacitance
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10332874B2 (en) 2017-05-03 2019-06-25 International Business Machines Corporation Indirect readout FET
CN108807514B (zh) * 2017-05-05 2021-06-08 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
DE102018106268A1 (de) * 2017-11-22 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-abstandshalterstrukturen für halbleiter-bauelemente und verfahren dafür
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10868142B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacer structure and method of forming same
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11158719B2 (en) * 2018-11-30 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10770562B1 (en) 2019-03-01 2020-09-08 International Business Machines Corporation Interlayer dielectric replacement techniques with protection for source/drain contacts
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
CN112002644A (zh) * 2020-08-28 2020-11-27 上海华力微电子有限公司 半导体器件及其制备方法
US11876117B2 (en) 2021-10-18 2024-01-16 International Business Machines Corporation Field effect transistor with reduced parasitic capacitance and resistance

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787827B2 (en) * 1997-06-30 2004-09-07 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6864145B2 (en) * 2003-06-30 2005-03-08 Intel Corporation Method of fabricating a robust gate dielectric using a replacement gate flow
CN1700472A (zh) * 2004-05-20 2005-11-23 国际商业机器公司 集成电路以及形成用于晶体管栅电极的隔离层的方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6210999B1 (en) * 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
KR20010025030A (ko) * 1999-03-17 2001-03-26 롤페스 요하네스 게라투스 알베르투스 반도체 디바이스 제조 방법
US6194748B1 (en) * 1999-05-03 2001-02-27 Advanced Micro Devices, Inc. MOSFET with suppressed gate-edge fringing field effect
JP3450758B2 (ja) * 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6373111B1 (en) * 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
JP3851752B2 (ja) * 2000-03-27 2006-11-29 株式会社東芝 半導体装置の製造方法
US6440808B1 (en) * 2000-09-28 2002-08-27 International Business Machines Corporation Damascene-gate process for the fabrication of MOSFET devices with minimum poly-gate depletion, silicided source and drain junctions, and low sheet resistance gate-poly
JP2002329861A (ja) * 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6509612B2 (en) * 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6689698B2 (en) * 2001-11-13 2004-02-10 Chartered Semiconductor Manufacturing Limited Method for etching a silicided poly using fluorine-based reactive ion etching and sodium hydroxide based solution immersion
US6713357B1 (en) * 2001-12-20 2004-03-30 Advanced Micro Devices, Inc. Method to reduce parasitic capacitance of MOS transistors
US6566215B1 (en) * 2002-06-06 2003-05-20 Chartered Semiconductor Manufacturing Ltd. Method of fabricating short channel MOS transistors with source/drain extensions
US6858483B2 (en) * 2002-12-20 2005-02-22 Intel Corporation Integrating n-type and p-type metal gate transistors
US6890807B2 (en) * 2003-05-06 2005-05-10 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7119023B2 (en) * 2003-10-16 2006-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process integration of SOI FETs with active layer spacer
US6974764B2 (en) * 2003-11-06 2005-12-13 Intel Corporation Method for making a semiconductor device having a metal gate electrode
DE102004044667A1 (de) * 2004-09-15 2006-03-16 Infineon Technologies Ag Halbleiterbauelement sowie zugehöriges Herstellungsverfahren
US7479684B2 (en) * 2004-11-02 2009-01-20 International Business Machines Corporation Field effect transistor including damascene gate with an internal spacer structure
JP4945900B2 (ja) * 2005-01-06 2012-06-06 ソニー株式会社 絶縁ゲート電界効果トランジスタおよびその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787827B2 (en) * 1997-06-30 2004-09-07 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6864145B2 (en) * 2003-06-30 2005-03-08 Intel Corporation Method of fabricating a robust gate dielectric using a replacement gate flow
CN1700472A (zh) * 2004-05-20 2005-11-23 国际商业机器公司 集成电路以及形成用于晶体管栅电极的隔离层的方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106549059A (zh) * 2015-09-18 2017-03-29 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106549059B (zh) * 2015-09-18 2019-10-11 台湾积体电路制造股份有限公司 半导体结构及其制造方法

Also Published As

Publication number Publication date
WO2009002670A1 (en) 2008-12-31
CN101681841A (zh) 2010-03-24
EP2160757A4 (en) 2010-08-04
EP2160757A1 (en) 2010-03-10
US20090321853A1 (en) 2009-12-31
EP2160757B1 (en) 2013-10-16
US7812411B2 (en) 2010-10-12
KR20100108190A (ko) 2010-10-06
TW200924196A (en) 2009-06-01
US7585716B2 (en) 2009-09-08
US20090001480A1 (en) 2009-01-01

Similar Documents

Publication Publication Date Title
CN101681841B (zh) 一种半导体结构及其制造方法
US7915111B2 (en) Semiconductor device with high-K/dual metal gate
US7985690B2 (en) Method for a gate last process
CN103022102B (zh) 用于超薄界面介电层的多层清除金属栅极堆叠件
CN101950756B (zh) n型场效应晶体管、其金属栅极及其制造方法
US10134868B2 (en) MOS devices with mask layers and methods for forming the same
US9698211B2 (en) High sheet resistor in CMOS flow
KR100613084B1 (ko) 내부 스페이서를 포함하는 fet 실리사이드 게이트구조물을 형성하는 방법
US20050287753A1 (en) MOS device for high voltage operation and method of manufacture
US8445974B2 (en) Asymmetric FET including sloped threshold voltage adjusting material layer and method of fabricating same
US8513742B2 (en) Method for manufacturing contact and semiconductor device having said contact
US10964815B2 (en) CMOS finFET with doped spacers and method for forming the same
US20220367452A1 (en) Semiconductor structure and method of forming thereof
US7618857B2 (en) Method of reducing detrimental STI-induced stress in MOSFET channels
US11610973B2 (en) High voltage transistor structure and method of fabricating the same
US11569267B2 (en) Method for forming integrated circuit
US11094795B2 (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20200225

Address after: California, USA

Patentee after: Tessera, Inc.

Address before: New York, USA

Patentee before: International Business Machines Corp.