CN101677087A - 半导体元件的制法 - Google Patents

半导体元件的制法 Download PDF

Info

Publication number
CN101677087A
CN101677087A CN200910169148A CN200910169148A CN101677087A CN 101677087 A CN101677087 A CN 101677087A CN 200910169148 A CN200910169148 A CN 200910169148A CN 200910169148 A CN200910169148 A CN 200910169148A CN 101677087 A CN101677087 A CN 101677087A
Authority
CN
China
Prior art keywords
groove
layer
metal level
grid structure
semiconductor element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910169148A
Other languages
English (en)
Other versions
CN101677087B (zh
Inventor
叶炅翰
钟昇镇
郑光茗
庄学理
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101677087A publication Critical patent/CN101677087A/zh
Application granted granted Critical
Publication of CN101677087B publication Critical patent/CN101677087B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种半导体元件的制法,包括以下步骤:形成具有第一晶体管与第二晶体管的半导体基材,其中第一晶体管具有第一虚设栅极的第一栅极结构,第二晶体管具有第二虚设栅极的第二栅极结构;移除第一与第二虚设栅极,以分别形成第一与第二沟槽;形成第一金属层以部分填充第一与第二沟槽;移除于第一沟槽中的第一金属层;形成第二金属层,以部分填充第一与第二沟槽;形成第三金属层,以部分填充第一与第二沟槽;实施热处理工艺,以回焊(reflow)第二金属层与第三金属层;以及形成第四金属层,以填充第一与第二沟槽的剩余部分。本发明提供CMOS制作流程中简单且有效方法,用以调整NMOS元件和PMOS元件的金属栅极的功函数。

Description

半导体元件的制法
技术领域
本发明涉及半导体元件的制法,且特别涉及一种调整高介电常数(high-k)金属栅极元件的功函数的方法。
背景技术
半导体集成电路(integrated circuit,IC)已经历快速的发展。随着IC材料与设计上的发展,使得IC每一个世代拥有比前一个世代小且复杂的电路。然而,这些发展也提高了IC工艺的复杂度,为了实现这些先进IC,在IC的工艺上也需要对等的发展。
IC发展的过程中,当IC几何尺寸(亦即工艺所能得到的最小元件(或线))逐渐缩小的同时,功能元件的密度(亦即每单位晶片面积中的内连线元件)随的逐渐增加。尺寸缩小的好处在于增加生产效率(production efficiency)与降低相关工艺成本。然而,尺寸的缩小也产生相对较高的耗电量(powerdissipation),此问题可通过使用低耗电元件而解决,例如互补金属氧化物半导体(CMOS)元件。CMOS元件一般包括栅极氧化层与多晶硅栅极电极。当元件尺寸逐渐缩小时,为了增进元件的效能,需要将栅极氧化层与多晶硅栅极金属分别置换成高介电常数(high-k)栅极介电层与金属栅极电极。然而,NMOS元件和PMOS元件需要不同的功函数(work function)的栅极结构。方法之一包括利用盖层(capping layer)调整PMOS元件和NMOS元件的金属栅极的有效功函数。虽然此种方法可满足特定目的,但是却无法满足所有的需求。例如,盖层可能降低载子迁移率(carrier mobility),且可能使得元件性能反而受到影响。
发明内容
为克服现有技术的缺陷,本发明提供一种半导体元件的制法,包括以下步骤:提供一半导体基材;形成一第一晶体管与一第二晶体管于该半导体基材中,其中该第一晶体管具有一第一虚设栅极的第一栅极结构,该第二晶体管具有一第二虚设栅极的第二栅极结构;移除该第一虚设栅极与该第二虚设栅极,以分别形成一第一沟槽与一第二沟槽;形成一第一金属层以部分填充该第一沟槽与该第二沟槽;移除于该第一沟槽中的第一金属层;形成一第二金属层,以部分填充该第一沟槽与该第二沟槽;形成一第三金属层,以部分填充该第一沟槽与该第二沟槽;实施一热处理工艺(thermal process),以回焊(reflow)该第二金属层与该第三金属层;以及形成一第四金属层,以填充该第一沟槽与该第二沟槽的一剩余部分。
本发明另提供一种半导体元件的制法,包括以下步骤:提供一半导体基材;形成一第一晶体管与一第二晶体管于该半导体基材中,其中该第一晶体管具有一第一栅极结构,该第二晶体管具有一第二栅极结构,且该第一栅极结构与该第二栅极结构各自包括一高介电常数层形成于该半导体基材之上,一阻障层形成于该高介电常数层之上,以及一虚设多晶硅层形成于该阻障层之上;从该第一栅极结构与该第二栅极结构中移除该虚设多晶硅层,以分别形成一第一沟槽与一第二沟槽;形成一P型功函数金属层(P-metal)以部分填充该第一沟槽与该第二沟槽;移除于该第一沟槽中的P型功函数金属层;形成一钛(Ti)层,以部分填充该第一沟槽与该第二沟槽;形成一铝(Al)层,以部分填充该第一沟槽与该第二沟槽;回焊(reflow)该钛层与该铝层,以形成一铝钛(TiAl)层;以及形成一填充金属层,以填充该第一沟槽与该第二沟槽的一剩余部分。
本发明亦提供一种半导体元件的制法,包括以下步骤:提供一半导体基材;形成一第一晶体管与一第二晶体管于该半导体基材中,其中该第一晶体管具有一第一栅极结构,该第二晶体管具有一第二栅极结构,且该第一栅极结构与该第二栅极结构各自包括一高介电常数层形成于该半导体基材之上,一阻障层形成于该高介电常数层之上,以及一虚设多晶硅层形成于该阻障层之上;从该第一栅极结构与该第二栅极结构中移除该虚设多晶硅层,以分别形成一第一沟槽与一第二沟槽;形成一P型功函数金属层(P-metal)以部分填充该第一沟槽与该第二沟槽;移除于该第一沟槽中的P型功函数金属层;形成一钛(Ti)层,以部分填充该第一沟槽与该第二沟槽;形成一铝(Al)层,以部分填充该第一沟槽与该第二沟槽;回焊(reflow)该钛层与该铝层,以形成一铝钛(TiAl)层;实施一化学机械研磨工艺(CMP),用以移除位于该第一沟槽与该第二沟槽之外的的各金属层;以及形成一填充金属层,以填充该第一沟槽与该第二沟槽的一剩余部分。
本发明所公开的方法提供一种CMOS制作流程中简单且有效方法,用以调整NMOS元件和PMOS元件的金属栅极的功函数。再者,本发明所公开的方法与元件可轻易的整合于目前的CMP工艺流程与半导体配备,且不需昂贵的成本。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合所附附图,作详细说明如下。
附图说明
图1为一流程图,用以说明本发明制备具有双金属栅极结构的半导体元件的方法。
图2A~2K为一系列剖面图,用以说明依照本发明图1所示方法的各个工艺阶段。
并且,上述附图中的附图标记说明如下:
100~半导体元件的制法
102~提供具有第一区域与第二区域的半导体基材
104~形成一高介电常数(high-k)层于基材之上
106~形成阻障层于高介电常数层之上
108~形成半导体层于阻障层之上
110~于第一区域形成第一栅极堆叠,以及于第二区域形成第二栅极堆叠
111~于第一与第二栅极堆叠的侧壁形成侧壁间隙壁
112~从第一栅极堆叠移除半导体层以形成第一沟槽,以及从第二栅极堆叠移除半导体层以形成第二沟槽
114~形成第一金属层于第二沟槽中的阻障层之上
116~形成第二金属层于第一沟槽中的阻障层之上,并形成于第二沟槽的第一金属层之上
118~形成第三金属层于第一沟槽与第二沟槽的第二金属层之上
120~实施一热处理工艺以回焊(reflow)第二金属层与第三金属层
122~形成第四金属层以填充第一沟槽与第二沟槽剩余的部分
124~实施化学机械研磨工艺(CMP)
200~半导体元件
202~半导体基材
204~隔离结构
206、208~有源区域
212、212n、212p~高介电常数层
216、216n、216p~阻障层
218、218n、218p~多晶硅层
220、220n、220p~硬掩模层
221、222~图案
231、232~栅极堆叠
234~栅极间隙壁
236~S/D区域
238~层间介电层(ILD)
241n、241p~沟槽
244~氮化钛层
246~氮化钨层
250~铝层
251~热处理工艺
252~铝层
具体实施方式
以下特举出本发明的实施例,并配合所附附图作详细说明。以下实施例的元件和设计是为了简化所公开的发明,并非用以限定本发明。举例而言,说明书中提及形成第一特征位于第二特征之上,其包括第一特征与第二特征是直接接触的实施例,另外也包括于第一特征与第二特征之间另外有其他特征的实施例,亦即,第一特征与第二特征并非直接接触。此外,为了简化与清晰的目的,各种特征可能用不同的尺寸简化地绘出。此外,本发明所公开的实施例是“后栅极”金属工艺,然而,本领域普通技术人员可应用于其他工艺及/或使用其他材料。
依照本发明所公开的各种实施例,图1显示具有双栅极结构的半导体元件的制作方法100的流程图。依照图1所示的方法100,图2A至图2K显示半导体元件200于各个工艺阶段的剖面图。须注意的是,为了强调分别形成NMOS元件和PMOS元件的双金属栅极结构,因此图2A至图2K的图示已经过简化。再者,部分的半导体元件200可以使用一般CMOS的制造流程。据此,须了解的是,于图1方法100之前,期间或之后可提供额外的工艺,且某些其他工艺在此仅作简单陈述。可利用后栅极工艺制备半导体元件200(也称为取代多晶硅栅极工艺)。于后栅极工艺,先形成虚设多晶硅栅极结构,接着,进行一般CMOS制造流程,直到沉积层间介电层(interlayer dielectric,ILD)。之后,虚设多晶硅栅极结构可被移除,并且被金属栅极结构所取代。
半导体元件的制作方法100起始于方块102,其提供具有第一区域与第二区域的半导体基材。于图2A中,半导体元件200可包括一半导体基材202,例如硅基材。此基材202可另外包括硅化锗、砷化镓、或其他适合的半导体材料。基材202还可包括其他特征,例如各种掺杂区域,如p型阱或n型阱,阻障层,及/或外延层。再者,基材202可以是位于绝缘体上的半导体,例如绝缘层上覆硅(silicon on insulator,SOI)。于另外的实施例中,半导体基材202可包括一掺杂外延层,一梯度(gradient)半导体层,及/或还可包括一半导体层位于另一不同类型的半导体层之上,例如硅层位于硅化锗层之上。于其他实施例中,一化合物半导体基材可包括多层硅结构,或者是含有多层化合物半导体结构的硅基材。
半导体元件200还可包括一绝缘结构(图中未显示)204,例如浅沟槽隔离结构(shallow trench isolation,STI)形成于基材202之中,用以隔离基材202中的有源区域206和208。隔离结构204可由氧化硅,氮化硅,氮氧化硅,掺杂氟的硅酸盐(FSG),及/或本领域熟知的低介电常数(low k)材料所组成。有源区域206可用来形成NMOS元件,而有源区域208可用来形成PMOS元件。
半导体元件200还包括一界面层204于基材202之上(如图2F所示)。界面层可包括厚度为约5埃到10埃的氧化硅层(由热氧化或化学氧化法形成)。界面层可通过下述方法形成:原子层沉积法(atomic layer deposition,ALD)、化学气相沉积法(chemical vapor deposition,CVD)、物理气相沉积法(physicalor sputter),热氧化法或上述的组合。另外,界面层可视需要的包括氮氧化硅(SiON)。
方法100接着进行方块104,其形成一高介电常数(high-k)层于基材之上。半导体元件200还包括一高介电常数层212形成于界面层之上。此高介电常数层212可通过原子层沉积法(ALD)、化学气相沉积法(CVD)、金属-有机CVD(MOCVD)、物理气相沉积法(PVD)、热氧化法(thermal oxidation)或上述的组合。高介电常数层212的厚度为约10埃到30埃。高介电常数层212可包括氧化铪(HfOx)。另外的,高介电常数层212可视需要的包括其他高介电常数材料,例如氧化镧(LaO)、氧化铝(AlO)、氧化锆(ZrO)、氧化钛(TiO)、氧化钽(Ta2O5)、氧化钇(Y2O3)、氧化钛锶(SrTiO3,STO))、氧化钛钡(BaTiO3,BTO)、氧化锆钡(BaZrO)、氧化锆铪(HfZrO)、氮氧化锆铪(HfZrON)、氧化镧铪(HfLaO)、氧化硅铪(HfSiO)、氮氧化硅铪(HfSiON)、氧化硅镧(LaSiO)、氧化硅铝(AlSiO)、氧化钽铪(HfTaO)、氧化钛铪(HfTiO)、钛酸(钡,锶)((Ba,Sr)TiO3,BST)、氧化铝(Al2O3)、氮化硅(Si3N4)、氮氧化物(oxynitride)或其他适合的材料。
方法100接着进行方块106,其形成阻障层于高介电常数层之上。请参见图2B,半导体元件200还包括一阻障层216形成于高介电常数层212之上。阻障层216可包括厚度为约10埃到20埃的氮化钛(TiN)。阻障层216可具有的成分为TixN(1-x),其中x等于0.43-0.57。于后续工艺步骤期间,阻障层216可作为高介电常数层212与后续形成的虚设多晶硅栅极结构之间的阻障,用以降低或消除介于多晶硅与高介电常数层之间的费米能阶钉扎效应(Femi level pinning)。再者,阻障层216于后续的蚀刻工艺可扮演停止层的角色。阻障层216可通过各种沉积工艺制得,例如物理气相沉积法(PVD或sputtering)、化学气相沉积法(CVD)、电镀(plating)或其他适合的方法。
方法100接着进行方块108,其形成多晶硅层于阻障层之上。请参见图2C,半导体元件200还包括通过合适的沉积工艺将多晶硅层218形成于阻障层216之上。多晶硅层218的厚度为约400埃到800埃。半导体元件200可包括一硬掩模层220形成于多晶硅层218之上。硬掩模层220可包括氮化硅、氮氧化硅、碳化硅、及/或其他适合的介电材料,其可通过沉积方法形成(如CVD或PVD)。硬掩模层220的厚度为约100埃到400埃。此外,为了于光刻工艺(photolithography)时帮助光致抗蚀剂层的图案化,半导体元件200可包括一抗反射层(antireflective coating layer)或底部抗反射层(bottomantireflective coating,BARC)。例如,图案化光致抗蚀剂层可形成于硬掩模层220之上,其中硬掩模层220包括一图案221位于NMOS元件206之上,以及另一图案222位于PMOS元件208之上。图案221,222可通过干式蚀刻或湿式蚀刻工艺图案化硬掩模层220。
方法100接着进行方块110,其于第一区域形成第一栅极堆叠,以及于第二区域形成第二栅极堆叠。请参见图2D,利用图案化硬掩模层于NMOS元件206中形成栅极堆叠231,于PMOS元件208中形成栅极堆叠232,形成的方法为干式蚀刻、湿式蚀刻或上述的组合。例如,硬掩模层的图案220n,220p可被转换到多晶硅层218上,用以形成虚设多晶硅栅极结构218n,218p。栅极堆叠231可包括一高介电常数层212n,一阻障层216n,一虚设多晶硅栅极218n,以及一硬掩模层220n。栅极堆叠232可包括一界面层,一高介电常数层212p,一阻障层216p,一虚设多晶硅栅极218p,以及一硬掩模层220p。
方法100接着进行方块111,其于第一与第二栅极堆叠的侧壁形成侧壁间隙壁。请参见图2E,各种特征与结构可通过本领域普通技术人员所熟知的CMOS制作流程而形成,因此在此不多着墨。例如,可形成轻掺杂源极区域(lightly doped drain regions,LDD)、侧壁或栅极间隙壁234、重掺杂源极/漏极区(S/D)236、硅化物区、接触蚀刻停止层(contact etch stop layer,CESL)、层间介电层(inter-level dielectric,ILD)238。间隙壁234可包括氧化硅、氮化硅、氮氧化硅、碳化硅、或其他适合的材料。轻掺杂源极区域(lightly doped drainregions,LDD)与重掺杂源极/漏极区(S/D)236可依据晶体管设计(亦即NMOS或PMOS)的需求注入p型或n型杂质至基材202中而得。硅化物特征可通过自对准硅化物工艺(self-aligned silicide process)形成于重掺杂源极/漏极区(S/D)236之上。接触蚀刻停止层(CESL)可包括氮化硅、氮氧化硅或其他适合的材料。可依据半导体元件200的一或多个额外的特征选择CESL的成分。ILD层238通过化学气相沉积法(CVD)、高密度等离子体(high density plasma,HDP)、物理气相沉积法(PVD)、旋转涂布法(spin-on)或其他适合的方法形成于CESL之上。ILD层238可包括氧化硅、氮氧化硅或低介电常数材料。
方法100接着进行方块112,从第一栅极堆叠与第二栅极堆叠中移除虚设多晶硅栅极,以分别形成第一沟槽与第二沟槽。请参见图2F,实施一化学机械研磨工艺(CMP)以分别曝露栅极堆叠231,232的虚设多晶硅栅极218n,218p的上表面。化学机械研磨工艺可平坦化ILD层238,以到达硬掩模层220n,220p,且可过度研磨(overpolishing)以移除硬掩模层并曝露出虚设多晶硅栅极218n,218p。可通过后蚀刻工艺、干式蚀刻、湿式蚀刻、或其他适合的方法移除于NMOS元件206中的虚设多晶硅栅极218n以及于PMOS元件208中的虚设多晶硅栅极218p。例如,湿式蚀刻工艺包括曝露于含有氢氧化物的溶液(亦即氢氧化铵),去离子水,及/或其他适合的蚀刻溶液。阻障层216n,216p于蚀刻工艺可扮演蚀刻阻障层的角色。通过选择性地蚀刻虚设多晶硅栅极218n,218p,以于栅极堆叠231中形成沟槽214n,且于栅极堆叠232中形成沟槽214p。
方法100接着进行方块114,其中第一金属层形成于第二沟槽中的阻障层之上。一或多层金属层(亦即功函数金属层)形成于PMOS元件208中的沟槽241p中。例如,P型功函数金属(P-metal)可形成于PMOS元件208的沟槽241p中。须了解的是,图2G到图2K显示NMOS元件206和PMOS元件208个别的金属栅极的详细剖面图,其中于后栅极工艺中形成金属栅极。请参见图2G,沉积氮化钛(TiN)层244以部分填充沟槽241n,241p,且其厚度可为约40埃。氮化钛(TiN)层244可通过原子层沉积法或其他适合的工艺制备而得。氮化钨(WN)层246形成于氮化钛(TiN)层244之上,用以部分填充沟槽241n,241p,且其厚度可为约50埃。氮化钨(WN)层246可通过物理气相沉积法(PVD)或其他适合的工艺制备而得。须了解的是,虽然此处举例了多层P金属层,但是P-金属层可包括单层,例如氮化钛(TiN)、氮化钨(WN)、或其他适合的金属。
请参见图2H,通过N/P图案化工艺从NMOS元件206的沟槽241n中移除氮化钛(TiN)层244与氮化钨(WN)层246。例如,为了保护PMOS元件208,因此进行N/P图案化工艺时使用光致抗蚀剂。光刻工艺(photolithography)可包括旋转涂布(spin coating)、软烘烤(soft-baking)、曝光(exposure)、后烘烤(post-baking)、显影(developing)、润洗(rinsing)、干燥(drying)、与其他适合的工艺。另外的,N/P图案化可包括湿浸式光刻(immersion lithography)、电子束光刻(electron beam lithography)或其他适合的工艺。据此,利用干式蚀刻或湿式蚀刻工艺移除于NMOS元件206中的氮化钛(TiN)层244与氮化钨(WN)层246。所以,氮化钛(TiN)层244p与氮化钨(WN)层246p(亦即P功函数金属)残留于PMOS元件208的沟槽241p中。
方法100接着进行方块116,其中第二金属层形成于第一沟槽中的阻障层之上,并形成于第二沟槽的第一金属层之上。请参见图2I,沉积钛(Ti)层248,因此钛层248形成于沟槽241n的阻障层216n之上,且钛层248形成于沟槽241p的TiN/WN层244p,246p之上。钛层248的厚度可为约30埃。钛层248可通过物理气相沉积法(PVD)制得,例如,利用ExtensaTM系统(Applied Materials,Inc of Santa,Clara,California)
方法100接着进行方块118,其中第三金属层形成于第一沟槽与第二沟槽中的第二金属层之上。于此实施例中,沉积铝(Al)层250,因此铝层250形成于沟槽241n,241p中的钛层248上。铝层250的厚度可为约70埃。铝层250可通过化学气相沉积法(CVD)或其他适合的方法制得。
方法100接着进行方块120,其中实施一热处理工艺(thermal process),用以回焊(reflow)第二金属层与第三金属层。请参见图2J,实施热处理工艺251用以回焊沟槽241n,241p中的铝层250与钛层248(亦即对铝/钛反应)。热处理工艺于约200℃~500℃的温度下进行,时间为约50秒~200秒。于NMOS元件206的金属成分可包括钛:铝为2.3∶1的比例。此外,须了解的是,钛/铝可包括其他比例。因此,回焊工艺所提供的是,将NMOS元件206与PMOS元件208中的金属栅极调整成有效的功函数。
方法100接着进行方块122,其中沉积第四金属层以填充第一沟槽与第二沟槽剩余的部分。请参见图2K,一填充金属层252,例如铝,通过往上堆积的物理气相沉积法(bottom-up PVD)或其他适合的方法,形成于沟槽241n,241p中的回焊钛/铝层248,250之上。另外,填充金属层252可视需要的包括钨(W)、铜(Cu)或其他适合的材料。可沉积填充金属层252直到沟槽241n,241p的剩余部分大体上或完全被填满。于沟槽241n(NMOS元件206)中的填充金属的含量大于沟槽241p(PMOS元件208)中的含量。因此,须注意的是,由于沟槽241p中已经有其他各种金属层,PMOS的缺口填充(gap fill)是较具挑战的。据此,于沉积后续填充金属层或其他金属层之前,可实施一额外的化学机械研磨工艺(CMP),用以平坦化并移除沟槽外的各种金属层。
方法100接着进行方块124,实施一化学机械研磨工艺(CMP)。实施化学机械研磨(亦即金属栅极CMP工艺)于各种金属之上,用以平坦化NMOS元件206和PMOS元件208中的栅极结构231,232。CMP工艺具有高度选择性,因此能提供栅极结构和ILD层大体上平坦的表面。NMOS元件206的栅极结构231可包括界面层、高介电常数层212n、氮化钛层216n、回焊的钛/铝层248,250,以及铝层252。PMOS元件208的栅极结构232可包括界面层、高介电常数层212p、氮化钛层216p、氮化钛层244p、氮化钨层246p、回焊的钛/铝层248,250,以及铝层252。据此,NMOS元件206的金属栅极可执行正确的N功函数,而PMOS元件208的金属栅极可执行正确的P功函数。因此,可轻易的达到NMOS元件206和PMOS元件208所需的临界电压(threshold voltage),用以增进元件效能与可靠度(reliability)。
须注意的是,半导体元件200可进行其他工艺,用以形成各种特征,例如接触插塞/介层插塞(contacts/vias),内连线金属层(interconnect metal layer)、层间或金属介电层(interlayer或metal dielectric)、保护层(passivation)、接合垫(bonding pad)、封装结构(packaging)等等。
应能理解的是,此处所公开的不同实施例提供不同的优点,且对于所有实施例不需要特定的优点。例如,本发明所公开的方法提供一种CMOS制作流程中简单且有效方法,用以调整NMOS元件和PMOS元件的金属栅极的功函数。此处所公开的方法与元件不需要用盖层作为调整金属栅极的功函数,因此载子迁移率不会因此受到影响。再者,此处所公开的方法与元件可轻易的整合于目前的CMP工艺流程与半导体配备。例如,此处所公开的注入材料与工艺与CMOS制造流程相容,且不需昂贵的成本。
虽然本发明已以数个较佳实施例公开如上,然而其并非用以限定本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视后附的权利要求所界定的范围为准。例如,为了特定的技术与应用,可调整各种金属层的厚度,用以最佳化NMOS元件和PMOS元件的操作性能。

Claims (15)

1.一种半导体元件的制法,包括以下步骤:
提供一半导体基材;
形成一第一晶体管与一第二晶体管于该半导体基材中,其中该第一晶体管具有一第一虚设栅极的第一栅极结构,该第二晶体管具有一第二虚设栅极的第二栅极结构;
移除该第一虚设栅极与该第二虚设栅极,以分别形成一第一沟槽与一第二沟槽;
形成一第一金属层以部分填充该第一沟槽与该第二沟槽;
移除于该第一沟槽中的第一金属层;
形成一第二金属层,以部分填充该第一沟槽与该第二沟槽;
形成一第三金属层,以部分填充该第一沟槽与该第二沟槽;
实施一热处理工艺,以回焊该第二金属层与该第三金属层;以及
形成一第四金属层,以填充该第一沟槽与该第二沟槽的剩余部分。
2.如权利要求1所述的半导体元件的制法,其中该第二金属层包括钛,该第三金属层包括铝。
3.如权利要求1所述的半导体元件的制法,其中实施该热处理工艺包括于约200℃~500℃的温度下实施该热处理工艺。
4.如权利要求1所述的半导体元件的制法,其中形成第四金属层之后,还包括实施一化学机械研磨工艺,用以研磨该第一栅极与该第二栅极结构。
5.如权利要求4所述的半导体元件的制法,其中形成该第四金属层之前,还包括实施另一化学机械研磨工艺。
6.如权利要求1所述的半导体元件的制法,其中该第四金属层包括铝。
7.如权利要求1所述的半导体元件的制法,其中该第一金属层包括氮化钛与氮化钨所组成的多层金属层。
8.如权利要求1所述的半导体元件的制法,其中该第一晶体管为NMOS元件,且该第二晶体管为PMOS元件。
9.一种半导体元件的制法,包括以下步骤:
提供一半导体基材;
形成一第一晶体管与一第二晶体管于该半导体基材中,其中该第一晶体管具有一第一栅极结构,该第二晶体管具有一第二栅极结构,且该第一栅极结构与该第二栅极结构各自包括一高介电常数层形成于该半导体基材之上,一阻障层形成于该高介电常数层之上,以及一虚设多晶硅层形成于该阻障层之上;
从该第一栅极结构与该第二栅极结构中移除该虚设多晶硅层,以分别形成一第一沟槽与一第二沟槽;
形成一P型功函数金属层以部分填充该第一沟槽与该第二沟槽;
移除于该第一沟槽中的P型功函数金属层;
形成一钛层,以部分填充该第一沟槽与该第二沟槽;
形成一铝层,以部分填充该第一沟槽与该第二沟槽;
回焊该钛层与该铝层,以形成一铝钛层;以及
形成一填充金属层,以填充该第一沟槽与该第二沟槽的剩余部分。
10.如权利要求9所述的半导体元件的制法,其中该钛层由物理气相沉积法制得,该铝层由化学气相沉积法制得。
11.如权利要求9所述的半导体元件的制法,其中该回焊该钛层与铝层包括于约200℃~500℃的温度下实施一热处理工艺。
12.一种半导体元件的制法,包括以下步骤:
提供一半导体基材;
形成一第一晶体管与一第二晶体管于该半导体基材中,其中该第一晶体管具有一第一栅极结构,该第二晶体管具有一第二栅极结构,且该第一栅极结构与该第二栅极结构各自包括一高介电常数层形成于该半导体基材之上,一阻障层形成于该高介电常数层之上,以及一虚设多晶硅层形成于该阻障层之上;
从该第一栅极结构与该第二栅极结构中移除该虚设多晶硅层,以分别形成一第一沟槽与一第二沟槽;
形成一P型功函数金属层以部分填充该第一沟槽与该第二沟槽;
移除于该第一沟槽中的P型功函数金属层;
形成一钛层,以部分填充该第一沟槽与该第二沟槽;
形成一铝层,以部分填充该第一沟槽与该第二沟槽;
回焊该钛层与该铝层,以形成一铝钛层;
实施一化学机械研磨工艺,用以移除位于该第一沟槽与该第二沟槽之外的各金属层;以及
形成一填充金属层,以填充该第一沟槽与该第二沟槽的剩余部分。
13.如权利要求12所述的半导体元件的制法,其中形成该填充金属层之后,还包括实施另一化学机械研磨工艺,用以移除位于该第一沟槽与该第二沟槽外的该填充金属层。
14.如权利要求12所述的半导体元件的制法,其中该填充金属包括铝、钨或铜。
15.如权利要求12所述的半导体元件的制法,其中该钛层由物理气相沉积法制得,且该铝层由化学气相沉积法制得。
CN2009101691487A 2008-09-12 2009-09-11 半导体元件的制法 Active CN101677087B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9665908P 2008-09-12 2008-09-12
US61/096,659 2008-09-12
US12/488,960 2009-06-22
US12/488,960 US7927943B2 (en) 2008-09-12 2009-06-22 Method for tuning a work function of high-k metal gate devices

Publications (2)

Publication Number Publication Date
CN101677087A true CN101677087A (zh) 2010-03-24
CN101677087B CN101677087B (zh) 2012-02-01

Family

ID=42007600

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101691487A Active CN101677087B (zh) 2008-09-12 2009-09-11 半导体元件的制法

Country Status (3)

Country Link
US (2) US7927943B2 (zh)
CN (1) CN101677087B (zh)
TW (1) TWI393220B (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376576A (zh) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 栅极沟槽以及半导体器件的制造方法
CN102420143A (zh) * 2011-06-15 2012-04-18 上海华力微电子有限公司 一种改善后栅极工艺高k栅电介质nmos hci方法
CN102738083A (zh) * 2011-04-06 2012-10-17 联华电子股份有限公司 具有金属栅极的半导体元件的制作方法
CN102737971A (zh) * 2011-04-15 2012-10-17 联华电子股份有限公司 具有金属栅极的半导体元件与其制造方法
CN103094208A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
CN103123901A (zh) * 2011-11-17 2013-05-29 台湾积体电路制造股份有限公司 N/p边界效应减小的金属栅极晶体管
CN103137489A (zh) * 2011-12-02 2013-06-05 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103311281A (zh) * 2012-03-14 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法
CN104299994A (zh) * 2013-07-16 2015-01-21 中芯国际集成电路制造(上海)有限公司 晶体管及晶体管的形成方法
CN104347418A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838371B2 (en) * 2006-11-06 2010-11-23 Nxp B.V. Method of manufacturing a FET gate
US7927943B2 (en) * 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
US8564094B2 (en) 2009-09-09 2013-10-22 Micron Technology, Inc. Capacitors including at least two portions of a metal nitride material, methods of forming such structures, and semiconductor devices including such structures
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
KR101656444B1 (ko) 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
DE102010002411B4 (de) * 2010-02-26 2012-10-31 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
JP5634742B2 (ja) * 2010-04-30 2014-12-03 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置の製造方法
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
CN102683397B (zh) * 2011-03-17 2016-04-06 联华电子股份有限公司 金属栅极结构及其制作方法
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) * 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US9384962B2 (en) * 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
CN102760758A (zh) * 2011-04-26 2012-10-31 联华电子股份有限公司 金属栅极结构
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8841733B2 (en) 2011-05-17 2014-09-23 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8673758B2 (en) * 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US9490342B2 (en) 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
US20120319198A1 (en) 2011-06-16 2012-12-20 Chin-Cheng Chien Semiconductor device and fabrication method thereof
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8674452B2 (en) 2011-06-24 2014-03-18 United Microelectronics Corp. Semiconductor device with lower metal layer thickness in PMOS region
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8580625B2 (en) 2011-07-22 2013-11-12 Tsuo-Wen Lu Metal oxide semiconductor transistor and method of manufacturing the same
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8477006B2 (en) * 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8975179B2 (en) 2011-10-18 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization process for semiconductor device fabrication
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US9355209B2 (en) 2011-11-17 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Revising layout design through OPC to reduce corner rounding effect
US8658487B2 (en) 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US8598028B2 (en) 2011-12-22 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate height loss improvement for a transistor
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
KR20130116099A (ko) * 2012-04-13 2013-10-23 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8987080B2 (en) * 2012-04-26 2015-03-24 Applied Materials, Inc. Methods for manufacturing metal gates
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8836049B2 (en) 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
CN103531469B (zh) * 2012-07-02 2018-03-30 中芯国际集成电路制造(上海)有限公司 金属栅极晶体管的制作方法
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9023708B2 (en) 2013-04-19 2015-05-05 United Microelectronics Corp. Method of forming semiconductor device
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9384984B2 (en) 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US9245972B2 (en) 2013-09-03 2016-01-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9281201B2 (en) 2013-09-18 2016-03-08 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US9219155B2 (en) 2013-12-16 2015-12-22 Intel Corporation Multi-threshold voltage devices and associated techniques and configurations
US9318490B2 (en) 2014-01-13 2016-04-19 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
CN104979289B (zh) * 2014-04-04 2018-11-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN106601606B (zh) 2015-10-19 2019-09-20 中芯国际集成电路制造(上海)有限公司 Nmos器件、半导体装置及其制造方法
CN106601605B (zh) * 2015-10-19 2020-02-28 中芯国际集成电路制造(北京)有限公司 栅极堆叠结构、nmos器件、半导体装置及其制造方法
US9985031B2 (en) * 2016-01-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and manufacturing method thereof
CN107591369B (zh) * 2016-07-07 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
WO2019204120A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Tuning work function of p-metal work function films through vapor deposition
US10867864B2 (en) * 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153784B2 (en) 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
JP2006108602A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP4854245B2 (ja) * 2005-09-22 2012-01-18 東京エレクトロン株式会社 半導体装置の製造方法
JP4501965B2 (ja) * 2006-10-16 2010-07-14 ソニー株式会社 半導体装置の製造方法
JP5326274B2 (ja) 2007-01-09 2013-10-30 ソニー株式会社 半導体装置および半導体装置の製造方法
US7915111B2 (en) * 2007-08-08 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-K/dual metal gate
US7964487B2 (en) * 2008-06-04 2011-06-21 International Business Machines Corporation Carrier mobility enhanced channel devices and method of manufacture
US7927943B2 (en) * 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376576A (zh) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 栅极沟槽以及半导体器件的制造方法
CN102738083A (zh) * 2011-04-06 2012-10-17 联华电子股份有限公司 具有金属栅极的半导体元件的制作方法
CN102738083B (zh) * 2011-04-06 2016-05-25 联华电子股份有限公司 具有金属栅极的半导体元件的制作方法
CN102737971A (zh) * 2011-04-15 2012-10-17 联华电子股份有限公司 具有金属栅极的半导体元件与其制造方法
CN102737971B (zh) * 2011-04-15 2016-08-17 联华电子股份有限公司 具有金属栅极的半导体元件与其制造方法
CN102420143A (zh) * 2011-06-15 2012-04-18 上海华力微电子有限公司 一种改善后栅极工艺高k栅电介质nmos hci方法
CN103094208A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
CN103094208B (zh) * 2011-10-31 2015-04-01 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
CN103123901A (zh) * 2011-11-17 2013-05-29 台湾积体电路制造股份有限公司 N/p边界效应减小的金属栅极晶体管
US9536867B2 (en) 2011-11-17 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. N/P boundary effect reduction for metal gate transistors
CN103123901B (zh) * 2011-11-17 2016-02-24 台湾积体电路制造股份有限公司 N/p边界效应减小的金属栅极晶体管
CN103137489A (zh) * 2011-12-02 2013-06-05 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103311281B (zh) * 2012-03-14 2016-03-30 中国科学院微电子研究所 半导体器件及其制造方法
CN103311281A (zh) * 2012-03-14 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法
CN104299994A (zh) * 2013-07-16 2015-01-21 中芯国际集成电路制造(上海)有限公司 晶体管及晶体管的形成方法
CN104299994B (zh) * 2013-07-16 2017-07-14 中芯国际集成电路制造(上海)有限公司 晶体管及晶体管的形成方法
CN104347418A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN104347418B (zh) * 2013-08-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法

Also Published As

Publication number Publication date
US8105891B2 (en) 2012-01-31
TWI393220B (zh) 2013-04-11
CN101677087B (zh) 2012-02-01
TW201025509A (en) 2010-07-01
US20110059601A1 (en) 2011-03-10
US20100068877A1 (en) 2010-03-18
US7927943B2 (en) 2011-04-19

Similar Documents

Publication Publication Date Title
CN101677087B (zh) 半导体元件的制法
US11823908B2 (en) Semiconductor device having work function metal stack
US9768069B2 (en) Method of manufacturing semiconductor device
US8334197B2 (en) Method of fabricating high-k/metal gate device
US10741678B2 (en) Semiconductor device and manufacturing method thereof
US8357603B2 (en) Metal gate fill and method of making
US8093116B2 (en) Method for N/P patterning in a gate last process
US8642471B2 (en) Semiconductor structure and method for manufacturing the same
US8349680B2 (en) High-k metal gate CMOS patterning method
CN101661904A (zh) 半导体元件及其制造方法
US11908749B2 (en) Method of metal gate formation and structures formed by the same
US8183644B1 (en) Metal gate structure of a CMOS semiconductor device
CN101685800A (zh) 半导体装置的制造方法
TW201314790A (zh) 具有金屬閘極堆疊之半導體裝置之製造方法
US9525040B2 (en) Method of fabricating hybrid impact-ionization semiconductor device
CN102194754A (zh) 半导体装置及其制造方法
CN103426821A (zh) 半导体集成电路制造的方法
KR101700496B1 (ko) 일함수층 및/또는 차단/습윤층으로서 TiAlCN을 갖는 금속 게이트 스택
KR20170046048A (ko) 삽입 층을 구비한 반도체 구조체 및 이를 제조하는 방법
US20140231932A1 (en) Methods and Apparatus of Metal Gate Transistors
TW201725626A (zh) 製造氮化鉭隔離層的方法與利用氮化鉭隔離層的超低臨界電壓半導體裝置
JP2012015383A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant