CN101287860B - 用于在等离子体工艺中顺序交替以优化衬底的方法和装置 - Google Patents

用于在等离子体工艺中顺序交替以优化衬底的方法和装置 Download PDF

Info

Publication number
CN101287860B
CN101287860B CN2005800477151A CN200580047715A CN101287860B CN 101287860 B CN101287860 B CN 101287860B CN 2005800477151 A CN2005800477151 A CN 2005800477151A CN 200580047715 A CN200580047715 A CN 200580047715A CN 101287860 B CN101287860 B CN 101287860B
Authority
CN
China
Prior art keywords
plasma
substrate
etch
characteristic
process variable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005800477151A
Other languages
English (en)
Other versions
CN101287860A (zh
Inventor
阿德里安·基尔迈森
塔玛拉克·潘杜姆索波尔恩
阿尔弗德·科弗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101287860A publication Critical patent/CN101287860A/zh
Application granted granted Critical
Publication of CN101287860B publication Critical patent/CN101287860B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

公开了在等离子体处理系统中用于优化衬底蚀刻的方法。该方法包括选择包括第一工艺变量的第一等离子体工艺配方,其中,通过第一数量改变第一工艺变量使第一衬底蚀刻特性优化而使第二衬底蚀刻特性恶化。该方法还包括选择包括第二工艺变量的第二等离子体工艺配方,其中,通过第二数量改变第二等离子体工艺变量使第一衬底蚀刻特性恶化而使第二衬底蚀刻特性优化。本方法还包括:将衬底定位在等离子体处理室中的卡盘上;以及在等离子体处理室内撞击等离子体。该方法还包括在第一等离子体配方和第二等离子体配方之间进行交替,其中,一旦完成该交替,则第一衬底蚀刻特性和第二衬底蚀刻特性被充分优化。

Description

用于在等离子体工艺中顺序交替以优化衬底的方法和装置
技术领域
本发明总的来说涉及衬底制造技术,具体地,涉及用于在等离子体工艺之间顺序交替以优化衬底的方法和装置。
背景技术
在诸如使用在平板显示器制造中的衬底(例如,半导体片或玻璃板)的处理中,经常使用等离子体。例如,作为衬底处理的一部分,将衬底分成多个管芯(die)或矩形区域,每个管芯或矩形区域都将成为集成电路。接着,通过一系列步骤处理衬底,其中,选择性地去除(蚀刻)和沉积材料。
通常,为了主要可接受诸如蚀刻速率、均匀性、选择性、蚀刻轮廓等的等离子体工艺特性,经常会调节工艺变量。蚀刻速率是在蚀刻工艺中材料被多快去除的测量值。这是工艺的重要特性,因为它直接影响蚀刻工艺的生产量。可以通过测量蚀刻工艺之前和之后的膜厚并将厚度差除以蚀刻时间来计算蚀刻速率。
均匀性是衬底表面上的蚀刻速率一致性的程度。其一般通过在蚀刻工艺之前或之后某些点的厚度并计算这些点处的蚀刻速率来测量。选择性是不同材料(尤其是与不应去除的材料相比而必须蚀刻的材料)之间的蚀刻速率之比。轮廓是蚀刻的垂直程度。通常,特征壁的表面均匀性越大,轮廓就越好。
在这组工艺变量中,可以调节的工艺变量是工艺时间、RF功率、室压、气体成分、气流、衬底偏压、RF频率等。然而,虽然理论上优化每个等离子体工艺特性的每个变量都是有益的,但实际上通常难以实现。一般,仅能够在窄参数窗内维持最佳的工艺条件,因此,从可制造性的观点来看是不实际的。通过调节一个变量来改进一个特性,可能会劣化另一个特性。
通常,存在三种类型的用于蚀刻衬底上各层的蚀刻工艺:纯化学蚀刻、纯物理蚀刻、和反应离子蚀刻。
纯化学蚀刻通常不包括物理轰击,而是中性分子(中性物)与衬底上的材料(例如,Al等)的化学反应。随后,取决于工艺,化学反应速率可以非常快或非常慢。例如,基于氟的分子易于与衬底上的介电材料进行化学反应,其中,基于氧的分子易于与衬底上的有机材料(例如,光刻胶)进行化学反应。
通常称作溅射的纯离子蚀刻被用于从衬底移除材料(例如,氧化物等)。通常,诸如氩的惰性气体在等离子体中被电离,然后朝向带负电的衬底加速。纯离子蚀刻是各向异性(即,主要沿一个方向)且非选择性的。即,由于大多数材料的溅射速率类似,所以对特定材料的选择性非常差。另外,纯离子蚀刻的蚀刻速率一般很低,这通常取决于离子轰击的通量和能量。
还被称作离子增强蚀刻的反应离子蚀刻(RIE)结合了化学和离子工艺,以从衬底上去除材料(例如,光刻胶、BARC、TiN、氧化物等)。一般地,等离子体中的离子通过撞击衬底表面来增强化学工艺,然后使表面上原子的化学键断裂,以使它们更易于与化学工艺的分子发生反应。由于离子蚀刻主要是垂直的,而化学蚀刻既是垂直的又是水平的,所以垂直方向的蚀刻速率将比水平方向的蚀刻速率快很多。另外,RIE倾向于具有各向异性的轮廓。
然而,纯化学蚀刻和RIE蚀刻都遇到的一个问题为不均匀的蚀刻速率。蚀刻速率通常是在蚀刻工艺中材料被多快去除的测量值。通常,通过测量蚀刻工艺之前和之后的厚度并将厚度差除以蚀刻时间来计算蚀刻速率。
一般地,在局部蚀刻速率可由表面处的化学反应或由传送到衬底表面的有限蚀刻剂主导的情况下,衬底边缘处的蚀刻速率一般较高。即,由于对于给定量的蚀刻剂,很少的衬底表面区域可用于给定量的蚀刻剂的蚀刻,所以易于导致更大的蚀刻速率。
现在参照图1,示出了等离子体处理系统部件的简化图。通常,一组适当的气体从气体分配系统122通过入口109流进室102。这些等离子体处理气体可随后在喷射器108处被电离以形成等离子体110,从而处理(例如,蚀刻或沉积)用边缘环(edge ring)115定位在静电卡盘116上的衬底114(例如,半导体衬底或玻璃板)的暴露区域。此外,衬里117在等离子体和等离子体处理室之间提供了阻热层,也有助于在衬底114上优化等离子体110。
感应线圈131通过介电窗104与等离子体分隔,并且通常在等离子体处理气体中感应出随时间变化的电流,以产生等离子体110。该窗既保护感应线圈免受等离子体110的影响,又可以使生成的RF场透入等离子体处理室。匹配网络132除了在导线130a和130b处与感应线圈131连接以外,还可以与RF发生器138连接。匹配网络132试图将通常运行于13.56MHz及50ohms的RF发生器138的阻抗与等离子体110的阻抗相匹配。
现在参照图2,示出了蚀刻工艺的简图。通常,等离子体蚀刻工艺非常复杂,并受到许多因素的影响。例如,RF场在等离子体110中产生多种类型的物质,例如,高能量电子、阳离子、阴离子、中性物、和原子团。当从气体分子或原子中完全去除电子时就产生阳离子。同样,当向气体分子或原子中添加电子时,产生了阴离子(尽管很少)。
当电子碰撞使分子分解成多个碎片时产生原子团,结果具有不饱和的化学键接并且是化学活性的。由于它们没有净电荷,并因此不被场加速或不被带电粒子吸引,所以与带电粒子相比,它们易于具有更长的寿命。中性物是稳定的,不具有正电荷或负电荷,也不是化学活性的。通常,最重要的两个参数是电子的数量密度和能量分布,它们在发起和维持等离子体方面起到重要作用。
通常,在等离子体蚀刻工艺中,通过侧壁钝化(通常通过在蚀刻前端上的聚合物形成224)来实现定向蚀刻。侧壁钝化的量取决于蚀刻产物的量和掩模区,并且随着从隔离特征向集成电路的密集填充部分移动时而显著改变。侧壁钝化材料的量确定结构轮廓。
在可以发生反应201(例如,物理吸附或化学吸附204)的情况下,等离子体中的一些反应物被传送到衬底表面202。在化学吸附中,在所吸收的原子或分子和衬底之间形成了强“化学键”。物理吸附较弱,并且通常被认为不具有有关的化学交互作用。
接下来,可将其他反应物传送至蚀刻前端214,或者如果是由离子208组成则使其偏离。结合衬底温度控制216和偏压产生218,这些因素随后可以影响轮廓210和表面质量212。如上所述,离子通常用在蚀刻反应220中,以从衬底中物理地去除材料(例如,氧化物等),而中性物和原子团可用在化学蚀刻反应220中。反应副产品通常会扩射回主等离子体气体流中,并且随后可以从等离子体室230中抽出228。
现在参考图3,简图将蚀刻速率与等离子体蚀刻工艺中的RF功率进行比较。所有其他等离子体工艺特性保持恒定,在低RF功率处,较少的离子在等离子体中可用来通过撞击衬底表面开始化学工艺。随着RF功率增大,产生了其它离子,从而使得总的蚀刻速率增大。然而,由于充满离子的等离子体具有较小的平均自由行程,所以增大RF功率还进一步稳定蚀刻速度。平均自由行程(MFP)可被定义为离子在与另一个粒子碰撞之前可以传播的平均距离。通常,MFP越短,到达衬底表面的离子的数量越少。
现在参考图4,示出了将蚀刻速率与等离子体蚀刻工艺中的压力进行比较的简图。与图3相反,所有其他等离子体工艺特性保持恒定,通常,对于物理支配蚀刻,增大压力会易于减小平均自由行程,并因此减少可用于进行蚀刻的离子数。即,通过增大压力,更多的离子碰撞导致离子能量减小。
现在参考图5,示出了将均匀性与等离子体蚀刻工艺中的RF功率进行比较的简图。所有其他等离子体工艺特性保持恒定,通常,在低RF功率处,较少的离子在等离子体中可用来通过撞击衬底表面开始化学工艺。随着RF功率增大,产生了其它离子,从而使得总蚀刻速率增大。然而,由于衬底边缘处可用的蚀刻剂比中心位置处的更多,所以边缘蚀刻速率趋于大于中心蚀刻速率,降低了衬底的均匀性。增大RF功率还进一步使等离子体充满离子而达到饱和,减小了平均自由行程。
现在参考图6,示出了将均匀性与等离子体蚀刻工艺中的压力进行比较的简图。与图5相反,所有其他等离子体工艺特性保持恒定,通常,开始增大压力还增加了传送到衬底表面的蚀刻剂,使衬底的边缘和中心之间的蚀刻速率相等。然而,与之前一样,增大压力还进一步使等离子体充满离子而达到饱和,这也会减小平均自由行程,并因此减少了可到达衬底表面的离子数。
现在参考图7,示出了将选择性与等离子体蚀刻工艺中的RF功率进行比较的简图。所有其他等离子体工艺特性保持恒定,通常,由于蚀刻工艺往往是更多的物理蚀刻(例如,溅射)且更少的化学蚀刻,所以增大RF功率减小了蚀刻选择性。如上所述,选择性是不同材料(尤其是与不应去除的物质相比必须蚀刻的材料)之间的蚀刻速率之比。
现在参考图8,示出了将选择性与等离子体蚀刻工艺中的压力进行比较的简图。与图7相反,所有其他等离子体工艺特性保持恒定,通常,由于充满离子而饱和的等离子体具有更小的MFP,所以增大压力趋于增大选择性。由于较少的离子可达到衬底表面,所以蚀刻工艺往往是更少的物理蚀刻且更多的化学蚀刻。
现在参考图9,示出了将蚀刻轮廓垂直性与等离子体蚀刻工艺中的RF功率进行比较的简图。所有其他等离子体工艺特性保持恒定,通常,增大RF功率趋于增加离子数,并由此增大垂直蚀刻速率以及随后的垂直轮廓。如上所述,离子蚀刻对衬底主要是垂直的,而化学蚀刻是垂直和水平的。
现在参考图10,示出了将蚀刻轮廓垂直性与等离子体蚀刻工艺中的压力进行比较的简图。与图9相反,所有其他等离子体工艺特性保持恒定,通常,随着压力的增大,由于充满离子而饱和的等离子体具有更小的MFP,所以蚀刻轮廓的垂直性降低。由于较少的离子可以达到衬底表面,所以蚀刻工艺往往是更少的物理蚀刻和更多的化学蚀刻。
鉴于以上所述,期望用于在等离子体工艺之间顺序交替以优化衬底的改进方法和装置。
发明内容
在一个实施例中,本发明涉及一种在等离子体处理系统中用于优化衬底蚀刻的方法。该方法包括选择包括第一工艺变量的第一等离子体工艺配方(recipe),其中,根据第一数量改变第一工艺变量使第一衬底蚀刻特性优化而使第二衬底蚀刻特性恶化。该方法还包括选择包括第二工艺变量的第二等离子体工艺配方,其中,通过第二数量改变第二工艺变量使第一衬底蚀刻特性恶化而使第二衬底蚀刻特性优化。该方法还包括:将衬底定位在等离子体处理室中的卡盘上;以及在等离子体处理室内撞击等离子体。该方法还包括在第一等离子体配方和第二等离子体配方之间进行交替,其中,一旦完成交替,就充分优化了第一衬底蚀刻特性和第二衬底蚀刻特性。
在另一个实施例中,本发明涉及一种在等离子体处理系统中用于优化衬底蚀刻的方法。该方法包括选择包括第一工艺变量的第一组等离子体工艺配方,其中,通过第一数量改变第一工艺变量使第一衬底蚀刻特性优化而使第二衬底蚀刻特性恶化。该方法还包括选择包括第二工艺变量的第二组等离子体工艺配方,其中,通过第二数量改变第二工艺变量使第一衬底蚀刻特性恶化而使第二衬底蚀刻特性优化。该方法还包括:将衬底定位在等离子体处理室中的卡盘上;以及在等离子体处理室内撞击等离子体。该方法还包括在第一组等离子体配方和第二组等离子体配方之间进行交替,其中,一旦完成该交替,就充分优化了第一衬底蚀刻特性和第二衬底蚀刻特性。
在另一个实施例中,本发明涉及一种在等离子体处理系统中用于优化衬底蚀刻的装置。该装置包括用于选择包括第一工艺变量的第一等离子体工艺配方的装置,其中,通过第一数量改变第一工艺变量使第一衬底蚀刻特性优化而使第二衬底蚀刻特性恶化。该装置还包括用于选择包括第二工艺变量的第二等离子体工艺配方的装置,其中,通过第二数量改变第二工艺变量使第一衬底蚀刻特性恶化而使第二衬底蚀刻特性优化。该装置还包括:用于将衬底定位在等离子体处理室中的卡盘上的装置以及在等离子体处理室内撞击等离子体的装置。该装置还包括用于在第一等离子体配方和第二等离子体配方之间进行交替的装置,其中,一旦完成该交替,就充分优化了第一衬底蚀刻特性和第二衬底蚀刻特性。
通过本发明的详细描述以及结合随后的附图,下面将更详细地描述本发明的这些和其它特征。
附图说明
参考实例示出本发明,而并未限制本发明,在附图中,相同的标号表示相同的元件,其中:
图1示出了等离子体处理系统部件的简图;
图2示出了等离子体蚀刻工艺的简图;
图3示出了将蚀刻速率与等离子体蚀刻工艺中的RF功率进行比较的简图;
图4示出了将蚀刻速率与等离子体蚀刻工艺中的压力进行比较的简图;
图5示出了将均匀性与等离子体蚀刻工艺中的RF功率进行比较的简图;
图6示出了将均匀性与等离子体蚀刻工艺中的压力进行比较的简图;
图7示出了将选择性与等离子体蚀刻工艺中的RF功率进行比较的简图;
图8示出了将选择性与等离子体蚀刻工艺中的压力进行比较的简图;
图9示出了将蚀刻轮廓的垂直性与等离子体蚀刻工艺中的RF功率进行比较的简图;
图10示出了将蚀刻轮廓的垂直性与等离子体蚀刻工艺中的压力进行比较的简图;
图11示出了根据本发明一个实施例的可通过在一组互补蚀刻工艺配方之间进行交替实现的充分优化的蚀刻速率;
图12示出了根据本发的一个实施例的可通过在一组互补蚀刻工艺配方之间进行交替实现的充分优化的均匀性;
图13示出了根据本发明一个实施例的可通过在一组互补蚀刻工艺配方之间进行交替实现的充分优化的选择性;
图14示出了根据本发明一个实施例的可通过在一组互补蚀刻工艺配方之间进行交替实现的充分优化的蚀刻轮廓;以及
图15示出了根据本发明一个实施例的用于在等离子体处理系统中在等离子体工艺之间顺序交替以优化衬底的简化方法的示图。
具体实施方式
现在,将参考附图中所示的本发明的几个优选实施例详细描述本发明。在以下的描述中,为了提供对本发明的透彻理解,将阐述多个具体细节。然而,本领域的技术人员应该明白,没有这些具体细节的一些或全部也可实施本发明。在其它情况下,为了避免对本发明造成不必要的混淆,没有详细描述众所周知的工艺步骤和/或结构。
虽然不想被理论所束缚,但在本文中发明人相信,充分优化的等离子体工艺特性(即,如蚀刻速率、均匀性、选择性、蚀刻轮廓等)可以通过在一组互补蚀刻工艺配方之间进行交替来实现,这组互补蚀刻工艺配方中的每一个均可对等离子体工艺特性具有反作用。即,第一蚀刻工艺配方可提高蚀刻速率但使选择性恶化,而第二蚀刻工艺配方可具有相反的作用。
通过在蚀刻工艺配方之间进行循环或交替,可以使每个工艺配方的优化和恶化效果达到平衡,从而得到大多数等离子体工艺特性的充分优化的结果。在一个实施例中,工艺配方可以每隔几秒钟交替一次。在另一实施例中,第一工艺配方对衬底特性产生凸起效果(convex effect),而第二工艺配方对衬底产生凹入效果(concaveeffect)。在又一实施例中,充分优化的结果是表示等离子体工艺特性的一组点或值域。
现在参考图11,根据本发明的一个实施例,可以通过在一组互补蚀刻工艺配方之间进行交替来实现充分优化的蚀刻速率。所有其他等离子体工艺特性保持恒定,在第一工艺1104中,在低RF功率处,较少的离子在等离子体中可被用来通过撞击衬底表面开始化学工艺。随着RF功率增大,产生了其它离子,使得总蚀刻速率增大。然而,由于充满离子而饱和的等离子体具有较小的平均自由行程,所以增大RF功率还进一步稳定蚀刻速率。通常,MFP越小,到达衬底表面的离子数越少。
在第二工艺1106中,增大压力将有助于减小平均自由行程,并因此减少可用于进行蚀刻的离子数。通过在蚀刻工艺配方之间进行循环或交替,可以使每个工艺配方的优化和恶化效果达到平衡,从而得到优化区1102中的蚀刻速率。
现在参考图12,根据本发明的一个实施例,可以通过在一组互补蚀刻工艺配方之间进行交替来实现充分优化的均匀性。所有其他等离子体工艺特性保持恒定,在第一工艺1204中,在低RF功率处,较少的离子在等离子体中可用来通过撞击衬底表面开始化学工艺。随着RF功率增大,产生了其它离子,从而使总蚀刻速率增大。然而,由于衬底边缘处可用的蚀刻剂比中心处的更多,所以边缘的蚀刻速率趋于大于中心的蚀刻速率,降低了衬底的均匀性。增大RF功率还进一步使等离子体充满离子而达到饱和,减小了平均自由行程。
在第二工艺1206中,通常,开始增大压力还增加了传送到衬底表面的蚀刻剂,从而使衬底的边缘和中心之间的蚀刻速率相等。然而,增大压力还进一步使等离子体充满离子而达到饱和,这也会减小平均自由行程,并因此减少了可到达衬底表面的离子数。通过在蚀刻工艺配方之间进行循环或交替,可使每个工艺配方的优化和恶化效果达到平衡,从而得到优化区1202中的均匀性。
现在参考图13,根据本发明的一个实施例,可以通过在一组互补蚀刻工艺配方之间进行交替来实现充分优化的选择性。所有其他等离子体工艺特性保持恒定,在第一工艺1304中,通常,由于蚀刻工艺往往是更多的物理蚀刻(即,溅射)且更少的化学蚀刻,所以增大RF功率减小了蚀刻选择性。
在第二工艺1306中,通常,由于充满离子而达到饱和的等离子体具有更小的MFP,所以增大压力有助于增大选择性。由于较少的离子可到达衬底表面,所以蚀刻工艺往往是更少的物理蚀刻且更多的化学蚀刻。通过在蚀刻工艺配方之间进行循环或交替,可以使每个工艺配方的优化和恶化效果达到平衡,从而得到优化区1302中的选择性。
现在参考图14,根据本发明的一个实施例,可以通过在一组互补蚀刻工艺配方之间进行交替来实现充分优化的蚀刻轮廓。所有其他等离子体工艺特性保持恒定,在第一工艺1404中,通常,增大RF功率有助于增加离子数,并由此增大垂直蚀刻速率和随后的垂直轮廓。
在第二工艺1406中,通常,随着压力的增大,由于充满离子而达到饱和的等离子体具有更小的MFP,所以蚀刻轮廓的垂直性降低。由于较少的离子可到达衬底表面,所以蚀刻工艺往往是更少的物理蚀刻且更多的化学蚀刻。通过在蚀刻工艺配方之间进行循环和交替,可以使每个工艺配方的优化和恶化效果达到平衡,从而得到优化区1402中的蚀刻轮廓。
例如,在LAM Research Alliance 9400 DSiETM等离子体处理系统的示例性蚀刻工艺中,可以通过在两个配方之间进行交替来优化蚀刻速率。第一配方可以包括:SF6:50sccm;压力:50mtorr;TCP功率:500watt;偏压:-150v;时间:10秒。而第二配方可以包括:C4F8:50sccm;压力:60mtorr;TCP功率:500watt;偏压:-1300v;时间:5秒。如果需要,可以多次重复这些步骤。
现在参考图15,示出了根据本发明一个实施例的用于在等离子体处理系统中的等离子体工艺之间顺序交替以优化衬底的简化方法的示图。首先,在步骤1502中,选择包括第一工艺变量的第一等离子体工艺配方,其中,通过第一数量改变第一工艺变量使第一衬底蚀刻特性优化而使第二衬底蚀刻特性恶化。接下来,在步骤1504中,选择包括第二工艺变量的第二等离子体工艺配方,其中,通过第二数量改变第二工艺变量使第一衬底蚀刻特性恶化而使第二衬底蚀刻特性优化。然后,在步骤1506中,将衬底定位在等离子体处理室中的卡盘上。接着,在步骤1508中,在等离子体处理室内撞击等离子体。最后,在步骤1510中,交替第一等离子体配方与第二等离子体配方,其中,一旦完成该交替,则第一衬底蚀刻特性和第二衬底蚀刻特性被充分优化。
尽管根据几个优选实施例描述了本发明,但是存在落入本发明范围内的变化、改变、和等同替换。例如,尽管结合Lam Research等离子体处理系统(例如,ExelanTM、ExelanTMHP、ExelanTMHPT、2300TM、VersysTMStar等)描述了本发明,但是可以使用其他等离子体处理系统。本发明还可以使用各种直径(例如,200mm、300mm等)的衬底。还应该注意,存在多种实施本发明方法的可选方式。
本发明的优点包括用于在等离子体工艺之间进行交替以优化衬底的方法和装置。其他优点可以包括通过使用具有互补蚀刻轮廓的两个工艺来补偿不均匀的蚀刻速率、提高了衬底产率和生产量、以及维持衬底的可重复性。
尽管已经公开了示例性实施例和最佳模式,但可对所公开的实施例做出的更改和改变,仍然保持在由所附权利要求限定的本发明的主题和精神的范围内。

Claims (24)

1.一种在等离子体处理系统中用于优化衬底蚀刻的方法,包括:
选择包括第一工艺变量的第一等离子体工艺配方,所述第一等离子体工艺配方除了第一工艺变量外所有其他等离子体工艺特性保持恒定,其中,通过将所述第一工艺变量改变第一数量使第一衬底蚀刻特性优化而使第二衬底蚀刻特性恶化;
选择包括第二工艺变量的第二等离子体工艺配方,所述第二等离子体工艺配方除了第二工艺变量外所有其他等离子体工艺特性保持恒定,其中,通过将所述第二工艺变量改变第二数量使第一衬底蚀刻特性恶化而使第二衬底蚀刻特性优化;
将衬底定位在等离子体处理室中的卡盘上;
在所述等离子体处理室内触发等离子体;
在所述第一等离子体配方和所述第二等离子体配方之间进行交替,其中,一旦完成所述交替,则所述第一衬底蚀刻特性和所述第二衬底蚀刻特性被充分优化。
2.根据权利要求1所述的方法,其中,所述第一衬底蚀刻特性包括蚀刻速率,所述第二衬底蚀刻特性是蚀刻均匀性,所述第一工艺变量是RF功率,所述第二工艺变量是室压。
3.根据权利要求1所述的方法,其中,所述第二衬底蚀刻特性包括蚀刻速率、均匀性、选择性、和蚀刻轮廓中之一。
4.根据权利要求1所述的方法,其中,所述第一工艺变量包括工艺时间、RF功率、室压、气体成分、气流、衬底偏压、和RF频率中之一。
5.根据权利要求1所述的方法,其中,所述第二工艺变量包括工艺时间、RF功率、室压、气体成分、气流、衬底偏压、和RF频率中之一。
6.根据权利要求1所述的方法,其中,所述第一衬底蚀刻特性是蚀刻选择性,所述第一工艺变量是RF功率,所述第二工艺变量是室压。
7.根据权利要求1所述的方法,其中,所述第二衬底蚀刻特性是蚀刻轮廓,所述第一工艺变量是RF功率,所述第二工艺变量是室压。
8.根据权利要求1所述的方法,其中,所述交替每隔几秒钟进行一次。
9.一种在等离子体处理系统中用于优化衬底蚀刻的方法,包括:
选择包括第一工艺变量的第一组等离子体工艺配方,所述第一组等离子体工艺配方除了第一工艺变量外所有其他等离子体工艺特性保持恒定,其中,通过将所述第一工艺变量改变第一数量使第一衬底蚀刻特性优化而使第二衬底蚀刻特性恶化;
选择包括第二工艺变量的第二组等离子体工艺配方,所述第二组等离子体工艺配方除了第二工艺变量外所有其他等离子体工艺特性保持恒定,其中,通过将所述第二工艺变量改变第二数量使第一衬底蚀刻特性恶化而使第二衬底蚀刻特性优化;
将衬底定位在等离子体处理室中的卡盘上;
在所述等离子体处理室内触发等离子体;
在所述第一组等离子体配方中的等离子体配方和所述第二组等离子体配方中的等离子体配方之间进行交替,其中,一旦完成所述交替,则所述第一衬底蚀刻特性和所述第二衬底蚀刻特性被充分优化。
10.根据权利要求9所述的方法,其中,所述第一衬底蚀刻特性包括蚀刻速率、均匀性、选择性、和蚀刻轮廓中之一。
11.根据权利要求9所述的方法,其中,所述第二衬底蚀刻特性包括蚀刻速率、均匀性、选择性、和蚀刻轮廓中之一。
12.根据权利要求9所述的方法,其中,所述第一工艺变量包括工艺时间、RF功率、室压、气体成分、气流、衬底偏压、和RF频率中之一。
13.根据权利要求9所述的方法,其中,所述第二工艺变量包括工艺时间、RF功率、室压、气体成分、气流、衬底偏压、和RF频率中之一。
14.根据权利要求9所述的方法,其中,所述衬底是半导体晶片。
15.根据权利要求9所述的方法,其中,所述衬底是玻璃板。
16.根据权利要求9所述的方法,其中,所述交替每隔几秒钟进行一次。
17.一种在等离子体处理系统中用于优化衬底蚀刻的装置,包括:
用于选择包括第一工艺变量的第一组等离子体工艺配方的装置,所述第一组等离子体工艺配方除了第一工艺变量外所有其他等离子体工艺特性保持恒定,其中,通过将所述第一工艺变量改变第一数量使第一衬底蚀刻特性优化而使第二衬底蚀刻特性恶化;
用于选择包括第二工艺变量的第二组等离子体工艺配方的装置,所述第二组等离子体工艺配方除了第二工艺变量外所有其他等离子体工艺特性保持恒定,其中,通过将所述第二工艺变量改变第二数量使第一衬底蚀刻特性恶化而使第二衬底蚀刻特性优化;
用于将衬底定位在等离子体处理室中的卡盘上的装置;
用于在所述等离子体处理室内触发等离子体的装置;
用于在所述第一组等离子体配方中的等离子体配方和所述第二组等离子体配方中的等离子体配方之间进行交替的装置,其中,一旦完成所述交替,则所述第一衬底蚀刻特性和所述第二衬底蚀刻特性被充分优化。
18.根据权利要求17所述的装置,其中,所述第一衬底蚀刻特性包括蚀刻速率、均匀性、选择性、和蚀刻轮廓中之一。
19.根据权利要求17所述的装置,其中,所述第二衬底蚀刻特性包括蚀刻速率、均匀性、选择性、和蚀刻轮廓中之一。
20.根据权利要求17所述的装置,其中,所述第一工艺变量包括工艺时间、RF功率、室压、气体成分、气流、衬底偏压、和RF频率中之一。
21.根据权利要求17所述的装置,其中,所述第二工艺变量包括工艺时间、RF功率、室压、气体成分、气流、衬底偏压、和RF频率中之一。
22.根据权利要求17所述的装置,其中,所述衬底是半导体晶片。
23.根据权利要求17所述的装置,其中,所述衬底是玻璃板。
24.根据权利要求17所述的装置,其中,所述交替每隔几秒钟进行一次。
CN2005800477151A 2004-12-22 2005-12-16 用于在等离子体工艺中顺序交替以优化衬底的方法和装置 Active CN101287860B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/022,983 US7459100B2 (en) 2004-12-22 2004-12-22 Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US11/022,983 2004-12-22
PCT/US2005/045725 WO2006068971A2 (en) 2004-12-22 2005-12-16 Sequentially alternating plasma process parameters to optimize a substrate

Publications (2)

Publication Number Publication Date
CN101287860A CN101287860A (zh) 2008-10-15
CN101287860B true CN101287860B (zh) 2011-10-05

Family

ID=36594380

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800477151A Active CN101287860B (zh) 2004-12-22 2005-12-16 用于在等离子体工艺中顺序交替以优化衬底的方法和装置

Country Status (7)

Country Link
US (1) US7459100B2 (zh)
EP (1) EP1831429A4 (zh)
JP (1) JP5038151B2 (zh)
KR (1) KR101144021B1 (zh)
CN (1) CN101287860B (zh)
TW (1) TWI386994B (zh)
WO (1) WO2006068971A2 (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
CN102427038A (zh) * 2011-09-15 2012-04-25 上海华力微电子有限公司 一种先进的自动调整刻蚀均匀性的方法
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
US11121229B2 (en) * 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
CN116535108B (zh) * 2023-07-05 2023-09-22 上海传芯半导体有限公司 衬底回收方法、再生的光掩模基版及光掩模版的制造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6459945B1 (en) * 1999-05-13 2002-10-01 Advanced Micro Devices, Inc. System and method for facilitating determining suitable material layer thickness in a semiconductor device fabrication process
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4182646A (en) 1978-07-27 1980-01-08 John Zajac Process of etching with plasma etch gas
US5081590A (en) * 1988-02-29 1992-01-14 Westinghouse Electric Corp. Computer aided technique for post production tuning of microwave modules
JPH053180A (ja) * 1990-11-16 1993-01-08 Nkk Corp AlまたはAl合金のエツチング方法
JP3210469B2 (ja) * 1993-03-12 2001-09-17 株式会社日立製作所 半導体集積回路装置の製造方法
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JPH0745585A (ja) * 1993-07-29 1995-02-14 Hitachi Ltd ドライエッチング方法
JPH088231A (ja) * 1994-06-21 1996-01-12 Sanyo Electric Co Ltd 膜の平坦化方法
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE69725245T2 (de) * 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6100200A (en) 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6633793B2 (en) * 2001-08-13 2003-10-14 Promos Technologies Method to reduce lot-to-lot variation of array threshold voltage in a DRAM device
US20030139907A1 (en) * 2002-01-24 2003-07-24 Mccarthy Robert J System, Method, and Product for Nanoscale Modeling, Analysis, Simulation, and Synthesis (NMASS)
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US7085676B2 (en) * 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6459945B1 (en) * 1999-05-13 2002-10-01 Advanced Micro Devices, Inc. System and method for facilitating determining suitable material layer thickness in a semiconductor device fabrication process
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images

Also Published As

Publication number Publication date
JP5038151B2 (ja) 2012-10-03
TWI386994B (zh) 2013-02-21
WO2006068971A3 (en) 2007-09-20
US7459100B2 (en) 2008-12-02
US20060131271A1 (en) 2006-06-22
TW200633051A (en) 2006-09-16
CN101287860A (zh) 2008-10-15
KR20070091673A (ko) 2007-09-11
JP2008526025A (ja) 2008-07-17
WO2006068971A2 (en) 2006-06-29
KR101144021B1 (ko) 2012-05-09
WO2006068971B1 (en) 2007-12-21
EP1831429A2 (en) 2007-09-12
EP1831429A4 (en) 2008-10-22

Similar Documents

Publication Publication Date Title
CN101287860B (zh) 用于在等离子体工艺中顺序交替以优化衬底的方法和装置
CN103632954B (zh) 加强等离子体处理系统中的等离子体增强蚀刻
KR101164829B1 (ko) 일 세트의 플라즈마 처리 단계를 튜닝하는 방법 및 장치
US8889024B2 (en) Plasma etching method
CN107039265B (zh) 硬掩膜的自限性平坦化
US6235640B1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
US6410451B2 (en) Techniques for improving etching in a plasma processing chamber
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
US7578945B2 (en) Method and apparatus for tuning a set of plasma processing steps
KR20190121257A (ko) 에칭하는 방법 및 플라즈마 처리장치
CN111696863B (zh) 硅介质材料刻蚀方法
WO2004097909A2 (en) Method and apparatus for deep trench silicon etch
EP0993685B1 (en) Method for improving microloading while etching a substrate
KR102535484B1 (ko) 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
US20040018741A1 (en) Method For Enhancing Critical Dimension Uniformity After Etch
JP3516741B2 (ja) プラズマ処理方法
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
US7229563B2 (en) Plasma etching of Ni-containing materials
WO2000059024A1 (en) Improved techniques for etching an aluminum neodymium-containing layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant