TWI386994B - 電漿處理間依序轉換以最佳化基板之方法及裝置 - Google Patents

電漿處理間依序轉換以最佳化基板之方法及裝置 Download PDF

Info

Publication number
TWI386994B
TWI386994B TW094145935A TW94145935A TWI386994B TW I386994 B TWI386994 B TW I386994B TW 094145935 A TW094145935 A TW 094145935A TW 94145935 A TW94145935 A TW 94145935A TW I386994 B TWI386994 B TW I386994B
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
etching
processing
optimizing
Prior art date
Application number
TW094145935A
Other languages
English (en)
Other versions
TW200633051A (en
Inventor
Adrian Kiermasz
Tamarak Pandhumsoporn
Alferd Cofer
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200633051A publication Critical patent/TW200633051A/zh
Application granted granted Critical
Publication of TWI386994B publication Critical patent/TWI386994B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

電漿處理間依序轉換以最佳化基板之方法及裝置
本發明係關於基板製造技術,且更具體地,係關於電漿處理間依序轉換以最佳化基板之方法及裝置。
於基板之處理,例如,半導體基板或玻璃面板,例如用於平面顯示器製造,通常使用電漿。作為基板處理之一部份,例如,基板劃分為複數個晶粒,或矩形區域,每一將成為一積體電路。基板接著於一連串步驟處理,其中材料乃選擇性地移除(蝕刻)與沉積。
一般而言,乃時常調整處理變數,以維持可接受之電漿處理特性,例如蝕刻速率,均勻度,選擇性,蝕刻輪廓等。蝕刻速率為測量蝕刻處理中,材料多快被移除。其為處理之一重要特性,因其直接影響蝕刻處理之產率。蝕刻速率可藉由測量蝕刻處理前後之薄膜厚度,並將厚度差異除以蝕刻時間而計算。
均勻度為基板表面之蝕刻速率一致性程度。其通常藉由蝕刻處理前後某些點之厚度,並計算這些點之蝕刻速率而測量。選擇性為不同材料間蝕刻速率之比例,尤其為需蝕刻之材料與不需移除之材料間之比較。輪廓為蝕刻之垂直程度。一般而言,特徵側壁之表面均勻度越大,輪廓越佳。
可調整之處理變數組為處理時間,射頻功率,處理室壓力,氣體組成,氣流,基板偏壓,射頻頻率等。然而,雖然理論上對於每一電漿處理特性,最佳化每一變數為有利的,實際上通常難以達成。通常最佳處理條件僅可維持於一狹窄參數範圍,且因此由製造性觀點並不實際。藉由調整一變數以改進一特性,另一特性卻可能下降。
一般而言,具有三種類型之蝕刻處理以蝕刻基板上之各層:純粹化學性蝕刻,純粹物理性蝕刻,以及反應離子蝕刻。
純粹化學性蝕刻通常未牽涉物理性轟擊,而為中性分子(不帶電)與基板上材料(例如,鋁等)之化學交互作用。隨後,化學反應速率可為非常高或非常低,取決於處理。例如,氟類分子傾向與基板上之電介質材料產生化學交互作用,其中氧類分子傾向與基板上之有機材料產生化學交互作用,例如光阻。
純粹離子蝕刻,通常稱為濺鍍,乃用於將材料由基板(例如,氧化物等)逐出。通常一惰性氣體,例如氬,乃於電漿中離子化,且隨後加速朝向帶負電之基板。純粹離子蝕刻為非等向性(亦即,主要於一方向)與非選擇性。亦即,對於特定材料之選擇性傾向非常不良,因多數材料之濺鍍速率為相似的。此外,純粹離子蝕刻之蝕刻速率通常很低,通常取決於離子轟擊之通量與能量。
反應離子蝕刻(RIE),亦稱為離子增強型蝕刻,結合化學與離子處理,以將材料由基板移除(例如,光阻,底抗反射層(BARC),氮化鈦(TiN),氧化物等)。通常電漿中之離子,藉由撞擊基板表面,且隨後打斷表面原子間之化學鍵,以使其更容易與化學處理之分子反應,而得以增強化學處理。因離子蝕刻主要為直角的,而化學性蝕刻為直角與垂直的,直角蝕刻速率傾向較水平方向快速許多。此外,離子反應蝕刻傾向具有非等向性輪廓。
然而,純粹化學性蝕刻與反應離子蝕刻皆遭遇一問題,乃為非一致之蝕刻速率。蝕刻速率通常測量蝕刻處理中,材料多快被移除。其通常藉由測量蝕刻處理前後之厚度,並將厚度差異除以蝕刻時間而計算。
一般而言,蝕刻速率典型地於基板邊緣較高,此處局部蝕刻速率乃由表面之化學反應,或至基板表面之限制蝕刻劑運送所支配。亦即,因對於特定體積之蝕刻劑,具有較少之基板表面區域可蝕刻,將傾向產生較大之蝕刻速率。
現在參照第1圖,乃顯示電漿處理系統元件之一簡化圖式。一般而言,一適當氣體組乃經由進口109,由氣體分配系統122,流入處理室102。這些電漿處理氣體隨後可於注射器108離子化,以形成電漿110,以處理(例如,蝕刻或沉積)基板114之暴露區域,例如半導體基板或玻璃面板,置於靜電吸盤116之邊緣環115。此外,襯墊117提供電漿與電漿處理室間之熱阻障,且幫助最佳化基板114上之電漿110。
感應線圈131藉由電介質窗口104與電漿分隔,且通常於電漿處理氣體內感應一隨時間變化之電流,以產生電漿110。窗口保護感應線圈免於電漿110,並允許產生之射頻場穿透至電漿處理室。進一步於導線130a-b耦合至感應線圈131為匹配網路132,其可進一步耦合至射頻產生器138。匹配網路132試圖匹配射頻產生器138與電漿110之阻抗,其典型地操作於13.56百萬赫茲與50歐姆。
現在參照第2圖,乃顯示蝕刻處理之一簡化圖式。一般而言,電漿蝕刻處理實質上為複雜的,且為許多因素所影響。例如,射頻場於電漿110中產生數種類型之種類,例如高能量電子,正離子,負離子,中性粒子,與自由基。當電子完全由氣體分子或原子中移除時,產生正離子。同樣地,負離子雖然稀少,乃於電子添加至氣體分子或原子時產生。
當電子撞擊將分子斷裂為碎片時,乃產生自由基,其具有未飽和之化學鍵結,且具有化學活性。因其未具有淨電荷,且因此不會被電場所加速,或被帶電粒子所吸引,相較於帶電粒子,其傾向具有長壽命。中性粒子為穩定的,未具有正或負電荷,亦不具化學活性。一般而言,兩種最重要之參數為電子之數目密度與能量分佈,其於初始化與維持電漿扮演中心角色。
一般而言,於電漿蝕刻處理,方向性蝕刻藉由側壁保護層達成,通常經由聚合物形成224於蝕刻正面。側壁保護層之數量取決於蝕刻產品與遮罩區域之數量,且當由積體電路之孤立特徵移向密集部份時,其顯著地改變。側壁保護層材料之數量決定結構之輪廓。
電漿中之一些反應物,乃傳送至基板表面202,於此產生反應201,例如物理吸附或化學吸附204。於化學吸附,於吸附原子或分子與基板間,形成一強烈"化學鍵"。物理吸附較弱,且通常視為未具有化學交互作用參與。
其他反應物接著可傳送至蝕刻正面214,或若由離子208組成時則偏折。結合基板溫度控制216與偏壓產生218,這些因素隨後可影響輪廓210與表面品質212。如上所述,離子通常用於蝕刻反應220,以物理性地將材料由基板逐出(例如,氧化物等),而中性粒子與自由基可用於化學性蝕刻反應220。反應副產物通常擴散返回至主要電漿氣流,且隨後可由電漿室230排空228。
現在參照第3圖,乃顯示比較電漿蝕刻處理中,蝕刻速率與射頻功率之一簡化圖式。所有其他電漿處理特性維持固定,於低射頻功率時,電漿中較少離子可藉由撞擊基板表面以產生化學處理。隨著射頻功率增加,產生額外離子,得以使整體蝕刻速率增加。然而,增加射頻功率仍進一步穩定蝕刻速率,因以離子飽和之電漿,具有較小之平均自由徑。平均自由徑(MFP)可定義為離子與另一粒子撞擊前,可移動之平均距離。一般而言,平均自由徑越短,抵達基板表面之離子數量越少。
現在參照第4圖,顯示於電漿蝕刻處理中,比較蝕刻速率與壓力之一簡化圖式。相較於第3圖,所有其他電漿處理特性維持固定,一般而言,對於物理性支配之蝕刻,增加壓力將傾向減少平均自由徑,以及可蝕刻之離子數目。亦即,藉由增加壓力,更多離子撞擊導致離子能量降低。
現在參照第5圖,顯示於電漿蝕刻處理中,比較均勻度與射頻功率之一簡化圖式。所有其他電漿處理特性維持固定,一般而言,於低射頻功率,電漿中較少離子可藉由撞擊基板表面產生化學處理。隨著射頻功率增加,產生額外離子,得以使整體蝕刻速率增加。然而,隨著基板邊緣比中心具有更多蝕刻劑,邊緣蝕刻速率傾向大於中心蝕刻速率,降低基板均勻度。增加射頻功率仍進一步以離子飽和電漿,減少平均自由徑。
現在參照第6圖,顯示於電漿蝕刻處理中,比較均勻度與壓力之一簡化圖式。相較於第5圖,所有其他電漿處理特性維持固定,一般而言,最初增加壓力亦增加蝕刻劑傳送至基板表面,使基板邊緣與中心間之蝕刻速率均等。然而,如上所述,增加壓力仍進一步以離子飽和電漿,其亦減少平均自由徑,以及可抵達基板表面之離子數量。
現在參照第7圖,顯示於電漿蝕刻處理中,比較選擇性與射頻功率之一簡化圖式。所有其他電漿處理特性維持固定,一般而言,增加射頻功率減少蝕刻選擇性。因蝕刻處理傾向較多物理性(亦即,濺鍍)且較少化學性。如上所述,選擇性為不同材料間蝕刻速率之比例,尤其為需蝕刻之材料與不需移除之材料間之比較。
現在參照第8圖,顯示於電漿蝕刻處理中,比較選擇性與壓力之一簡化圖式。相較於第7圖,所有其他電漿處理特性維持固定,一般而言,增加壓力傾向增加選擇性,因以離子飽和之電漿,具有較小平均自由徑。因較少離子可抵達基板表面,蝕刻處理傾向較少物理性與較多化學性。
現在參照第9圖,顯示於電漿蝕刻處理中,比較蝕刻輪廓垂直性與射頻功率之一簡化圖式。所有其他電漿處理特性維持固定,一般而言,增加射頻功率傾向增加離子數目,以及垂直蝕刻速率與隨後之垂直輪廓。如上所述,離子蝕刻傾向主要與基板成直角,而化學性蝕刻為成直角與垂直。
現在參照第10圖,顯示於電漿蝕刻處理中,比較蝕刻輪廓之垂直性與壓力之一簡化圖式。相較於第9圖,所有其他電漿處理特性維持固定,一般而言,隨著壓力增加,蝕刻輪廓之垂直性降低,因以離子飽和之電漿,具有較小平均自由徑。因較少離子可抵達基板表面,蝕刻處理較少物理性且較多化學性。
鑑於上述,乃需改進之方法與設備,以於電漿處理間依序轉換以最佳化基板。
於一實施例,於一電漿處理系統,本發明係關於一種最佳化基板蝕刻之方法。本方法包含選擇含有第一處理變數之一第一電漿處理配方,其中藉由第一數量改變第一處理變數乃最佳化第一基板蝕刻特性,並使第二基板蝕刻特 性惡化。本方法亦包含選擇含有第二處理變數之第二電漿處理配方,其中藉由第二數量改變第二處理變數,乃使第一基板蝕刻特性惡化,並最佳化第二基板蝕刻特性。本方法進一步包含放置基板於電漿處理室之一吸盤上;並於電漿處理室內撞擊電漿。本方法亦包含於第一電漿配方與第二電漿配方間轉換,其中於完成轉換後,第一基板蝕刻特性與第二基板蝕刻特性實質上為最佳化。
於另一實施例,於一電漿處理系統,本發明係關於一種最佳化基板蝕刻之方法。本方法包含選擇含有第一處理變數之第一組電漿處理配方,其中藉由第一數量改變第一處理變數,乃最佳化第一基板蝕刻特性,並使第二基板蝕刻特性惡化。本方法亦包含選擇含有第二處理變數之第二組電漿處理配方,其中藉由第二數量改變第二處理變數,乃使第一基板蝕刻特性惡化,並最佳化第二基板蝕刻特性。本方法進一步包含放置基板於電漿處理室之一吸盤上;並於電漿處理室內撞擊電漿。本方法亦包含於第一電漿配方與第二電漿配方間轉換,其中於完成轉換後,第一基板蝕刻特性與第二基板蝕刻特性實質上為最佳化。
於另一實施例,於一電漿處理系統,本發明係關於一種最佳化基板蝕刻之裝置。本裝置包含選擇含有第一處理變數之一第一電漿處理配方之機構,其中藉由第一數量改變第一處理變數,乃最佳化第一基板蝕刻特性,並使第二基板蝕刻特性惡化。本裝置亦包含選擇含有第二處理變數之第二電漿處理配方之機構,其中藉由第二數量改變第二 處理變數,乃使第一基板蝕刻特性惡化,並最佳化第二基板蝕刻特性。本裝置進一步包含放置基板於電漿處理室之一吸盤上之機構;並於電漿處理室內撞擊電漿。本裝置亦包含於第一電漿配方與第二電漿配方間轉換之機構,其中於完成轉換後,第一基板蝕刻特性與第二基板蝕刻特性實質上為最佳化。
隨後於實施方式中,將連同隨後圖式,更詳細說明本發明之這些與其他特徵。
現在將參照繪示於伴隨圖式之一些較佳實施例,詳細說明本發明。於下列說明,乃提出各種特定細節以提供本發明之完整瞭解。然而,熟知此項技藝之人士將瞭解,本發明可以未具有一些或所有這些特定細節而實施。於其他範例,未詳細說明熟知之處理步驟及/或結構,以避免不必要地模糊本發明。
雖然不希望受限於理論,此處本發明人相信實質上最佳電漿處理特性(亦即,例如蝕刻速率,均勻度,選擇性,蝕刻輪廓等),可藉由於一組互補蝕刻處理配方間轉換而達成,每一對於電漿處理特性具有一相反效應。亦即,第一蝕刻處理配方可改進蝕刻速率,但使選擇性惡化,而第二蝕刻處理配方具有相反效應。
藉由於蝕刻處理配方間循環或轉換,可平均每一處理配方之最佳化與惡化效應,對於大多數電漿處理特性,產 生實質上最佳結果。於一實施例,處理配方可每數秒轉換一次。於另一實施例,第一處理配方於基板特性產生一正向效應,而第二處理配方於基板產生一負向效應。於再另一實施例,實質上最佳結果為一組點,或數值區域,表示電漿處理特性。
現在參照第11圖,根據本發明一實施例,藉由於一組互補蝕刻處理配方間轉換,可達成實質上最佳蝕刻速率。所有其他電漿處理特性維持固定,於第一處理1104,於低射頻功率,電漿中具有較少離子可藉由撞擊基板表面產生化學處理。隨著射頻功率增加,產生額外離子,使得整體蝕刻速率增加。然而,增加射頻功率仍進一步穩定蝕刻速率,因以離子飽和之電漿,具有較小平均自由徑。一般而言,平均自由徑越小,抵達基板表面之離子數量越少。
於第二處理1106,增加壓力將傾向減少平均自由徑,以及可蝕刻之離子數目。藉由於蝕刻處理配方間循環或轉換,可平均每一處理配方之最佳化與惡化效應,於最佳化區域1102產生蝕刻速率。
現在參照第12圖,根據本發明一實施例,藉由於一組互補蝕刻處理配方間轉換,可達成實質上最佳均勻度。所有其他電漿處理特性維持固定,於第一處理1104,於低射頻功率,電漿中具有較少離子可藉由撞擊基板表面產生化學處理。隨著射頻功率增加,產生額外離子,使得整體蝕刻速率增加。然而,隨著基板邊緣較中心具有更多蝕刻劑時,邊緣蝕刻速率傾向大於中央蝕刻速率,降低基板均 勻度。增加射頻功率仍進一步以離子飽和電漿,減少平均自由徑。
於第二處理1206,一般而言,最初增加壓力亦增加蝕刻劑傳送至基板表面,使得基板邊緣與中心間之蝕刻速率均等。然而,增加壓力仍進一步以離子飽和電漿,其亦減少平均自由徑,以及可抵達基板表面之離子數量。藉由於蝕刻處理配方間循環或轉換,可平均每一處理配方之最佳化與惡化效應,於最佳化區域1202產生均勻度。
現在參照第13圖,根據本發明一實施例,藉由於一組互補蝕刻處理配方間轉換,可達成實質上最佳選擇性。所有其他電漿處理特性維持固定,於第一處理1304,一般而言,增加射頻將減少蝕刻選擇性,因蝕刻處理傾向較多物理性(亦即,濺鍍)與較少化學性。
於第二處理1306,一般而言,增加壓力傾向增加選擇性,因以離子飽和之電漿,具有較小平均自由徑。因較少離子可抵達基板表面,蝕刻處理傾向較少物理性與較多化學性。藉由於蝕刻處理配方間循環或轉換,可平均每一處理配方之最佳化與惡化效應,於最佳化區域1302產生選擇性。
現在參照第14圖,根據本發明一實施例,藉由於一組互補蝕刻處理配方間轉換,可達成實質上最佳蝕刻輪廓。所有其他電漿處理特性維持固定,於第一處理1404,一般而言,增加射頻功率傾向增加離子數目,以及垂直蝕刻速率與隨後之垂直輪廓。
於第二處理1406,一般而言,隨著壓力增加,蝕刻輪廓之垂直性降低,因以離子飽和之電漿,具有較小平均自由徑。因較少離子可抵達基板表面,蝕刻處理較少物理性且較多化學性。藉由於蝕刻處理配方間循環或轉換,可平均每一處理配方之最佳化與惡化效應,於最佳化區域1402產生蝕刻輪廓。
例如,於Lam Research Alliance 9400 DSiE TM電漿處理系統中之例示性蝕刻處理,藉由於兩配方間轉換,可最佳化蝕刻速率。第一配方可包含:SF6 :50每分鐘立方公分(sccm),壓力:50毫陶爾,TCP功率:500瓦特,偏壓:-150伏特,時間:10秒。而第二配方可包含:(C4 F8 ):50每分鐘立方公分(sccm),壓力:60毫陶爾,TCP功率:500瓦特,偏壓:-1300伏特,時間:5秒。可依所需重複步驟數目。
現在參照第15圖,顯示根據本發明一實施例,於電漿處理系統之電漿處理間依序轉換,以最佳化基板之簡化方法圖式。最初,於步驟1502,選擇含有第一處理變數之一第一電漿處理配方,其中藉由第一數量改變第一處理變數,乃最佳化第一基板蝕刻特性,並使第二基板蝕刻特性惡化。接著,於步驟1504,選擇含有第二處理變數之第二電漿處理配方,其中藉由第二數量改變第二處理變數,乃使第一基板蝕刻特徵惡化,並最佳化第二基板蝕刻特性。接著,於步驟1506,一基板放置於電漿處理室之一吸盤上。於步驟1508,電漿接著於電漿處理室內撞擊。且最後, 於步驟1510,第一電漿配方以第二電漿配方間轉換,其中於完成轉換後,第一基板蝕刻特性與第二基板蝕刻特性實質上為最佳化。
雖然本發明以數個較佳實施例說明,於本發明範疇內,具有修改,變更與均等物。例如,雖然本發明以Lam Research電漿處理系統說明(例如,ExelanTM,ExelanTM HP,ExelanTM HPT,2300TM,VersySTM Star等),可使用其他電漿處理系統。本發明亦可用於具各種直徑之基板(例如200釐米,300釐米等)。亦需注意具有許多實施本發明方法之其他方式。
本發明之優點包含於電漿處理間依序轉換以最佳化基板之方法與裝置。額外優點可包含藉由使用具有互補蝕刻輪廓之兩種處理,補償非一致蝕刻速率,改進基板產率與輸出,且維持基板-基板間之可重複性。
已揭示本發明之例示性實施例與最佳模式,仍於下列申請專利範圍所定義之本發明目的與精神內,對於揭示之實施例可進行修改與變更。
1102...最佳化區域
1104...第一處理
1106...第二處理
1202...最佳化區域
1206...第二處理
1302...最佳化區域
1304...第一處理
1306...第二處理
1402...最佳化區域
1404...第一處理
1406...第二處理
本發明乃藉由範例說明,且並非為限制,於伴隨圖式中,相同標號表示相同元件,且其中:第1圖顯示電漿處理系統元件之一簡化圖式;第2圖顯示電漿蝕刻處理之一簡化圖式;第3圖顯示電漿蝕刻處理中,比較蝕刻速率與射頻功率之一簡化圖式;第4圖顯示電漿蝕刻處理中,比較蝕刻速率與壓力之一簡化圖式;第5圖顯示電漿蝕刻處理中,比較均勻度與射頻功率之一簡化圖式;第6圖顯示電漿蝕刻處理中,比較均勻度與壓力之一簡化圖式;第7圖顯示電漿蝕刻處理中,比較選擇性與射頻功率之一簡化圖式;第8圖顯示電漿蝕刻處理中,比較選擇性與壓力之一簡化圖式;第9圖顯示電漿蝕刻處理中,比較蝕刻輪廓之垂直性與射頻功率之一簡化圖式;第10圖顯示電漿蝕刻處理中,比較蝕刻輪廓垂直性與壓力之一簡化圖式;第11圖顯示根據本發明一實施例,藉由於一組互補蝕刻處理配方間轉換,可達成之一實質上最佳化蝕刻速率;第12圖顯示根據本發明一實施例,藉由於一組互補蝕刻處理配方間轉換,可達成之一實質上最佳化均勻度;第13圖顯示根據本發明一實施例,藉由於一組互補蝕刻處理配方間轉換,可達成之一實質上最佳化選擇性;第14圖顯示根據本發明一實施例,藉由於一組互補蝕刻處理配方間轉換,可達成之一實質上最佳化蝕刻輪廓;且第15圖顯示根據本發明一實施例,於電漿處理系統之電漿處理間依序轉換,以最佳化基板之一簡化方法圖式。

Claims (24)

  1. 一種最佳化一基板蝕刻之方法,係用於一電漿處理系統中,包含:選擇包含一第一處理變數之一第一電漿處理配方,其中藉由一第一數量改變該第一處理變數係最佳化一第一基板蝕刻特性,並使一第二基板蝕刻特性惡化;選擇包含一第二處理變數之一第二電漿處理配方,其中藉由一第二數量改變該第二處理變數係使該第一基板蝕刻特性惡化,並最佳化該第二基板蝕刻特性;放置一基板於一電漿處理室中之一吸盤上;於該電漿處理室內撞擊一電漿;於該第一電漿配方與該第二電漿配方間轉換,其中於該轉換完成後,該第一基板蝕刻特性與該第二基板蝕刻特性實質上為最佳化。
  2. 如申請專利範圍第1項之最佳化一基板蝕刻之方法,其中該第一基板蝕刻特性包含蝕刻速率,均勻度,選擇性,與蝕刻輪廓的其中之一。
  3. 如申請專利範圍第1項之最佳化一基板蝕刻之方法,其中該第二基板蝕刻特性包含蝕刻速率,均勻度,選擇性,與蝕刻輪廓的其中之一。
  4. 如申請專利範圍第1項之最佳化一基板蝕刻之方法,其中該第一處理變數包含處理時間,射頻功率,處理室壓力,氣體組成,氣流,基板偏壓,以及射頻頻率的其中之一。
  5. 如申請專利範圍第1項之最佳化一基板蝕刻之方法,其中該第二處理變數包含處理時間,射頻功率,處理室壓力,氣體組成,氣流,基板偏壓,以及射頻頻率的其中之一。
  6. 如申請專利範圍第1項之最佳化一基板蝕刻之方法,其中該基板為半導體晶圓。
  7. 如申請專利範圍第1項之最佳化一基板蝕刻之方法,其中該基板為一玻璃面板。
  8. 如申請專利範圍第1項之最佳化一基板蝕刻之方法,其中該轉換每數秒產生一次。
  9. 一種最佳化一基板蝕刻之方法,係用於一電漿處理系統中,包含:選擇包含一第一處理變數之一第一組電漿處理配方,其中藉由一第一數量改變該第一處理變數係最佳化一第一基板蝕刻特性,並使一第二基板蝕刻特性惡化;選擇包含一第二處理變數之一第二組電漿處理配方,其中藉由一第二數量改變該第二處理變數係使該第一基板蝕刻特性惡化,並最佳化該第二基板蝕刻特性;放置一基板於一電漿處理室中之一吸盤上;於該電漿處理室內撞擊一電漿;於該第一組電漿配方之一電漿配方與該第二組電漿配方之一電漿配方間轉換,其中於該轉換完成後,該第一基板蝕刻特性與該第二基板蝕刻特性實質上為最佳化。
  10. 如申請專利範圍第9項之最佳化一基板蝕刻之方 法,其中該第一基板蝕刻特性包含蝕刻速率,均勻度,選擇性,與蝕刻輪廓的其中之一。
  11. 如申請專利範圍第9項之最佳化一基板蝕刻之方法,其中該第二基板蝕刻特性包含蝕刻速率,均勻度,選擇性,與蝕刻輪廓的其中之一。
  12. 如申請專利範圍第9項之最佳化一基板蝕刻之方法,其中該第一處理變數包含處理時間,射頻功率,處理室壓力,氣體組成,氣流,基板偏壓,以及射頻頻率的其中之一。
  13. 如申請專利範圍第9項之最佳化一基板蝕刻之方法,其中該第二處理變數包含處理時間,射頻功率,處理室壓力,氣體組成,氣流,基板偏壓,以及射頻頻率的其中之一。
  14. 如申請專利範圍第9項之最佳化一基板蝕刻之方法,其中該基板為半導體晶圓。
  15. 如申請專利範圍第9項之最佳化一基板蝕刻之方法,其中該基板為一玻璃面板。
  16. 如申請專利範圍第9項之最佳化一基板蝕刻之方法,其中該轉換每數秒產生一次。
  17. 一種最佳化一基板蝕刻之裝置,係用於一電漿處理系統中,包含:一選擇機構,選擇包含一第一處理變數之一第一組電漿處理配方,其中藉由一第一數量改變該第一處理變數係最佳化一第一基板蝕刻特性,並使一第二基板蝕刻特性惡 化;一選擇機構,選擇包含一第二處理變數之一第二組電漿處理配方,其中藉由一第二數量改變該第二處理變數係使該第一基板蝕刻特性惡化,並最佳化該第二基板蝕刻特性;一放置機構,放置一基板於一電漿處理室中之一吸盤上;一撞擊機構,於該電漿處理室內撞擊一電漿;一轉換機構,於該第一組電漿配方之一電漿配方與來自該第二組電漿配方之一電漿配方間轉換,其中於該轉換完成後,該第一基板蝕刻特性與該第二基板蝕刻特性實質上為最佳化。
  18. 如申請專利範圍第17項之最佳化一基板蝕刻之裝置,其中該第一基板蝕刻特性包含蝕刻速率,均勻度,選擇性,與蝕刻輪廓的其中之一。
  19. 如申請專利範圍第17項之最佳化一基板蝕刻之裝置,其中該第二基板蝕刻特性包含蝕刻速率,均勻度,選擇性,與蝕刻輪廓的其中之一。
  20. 如申請專利範圍第17項之最佳化一基板蝕刻之裝置,其中該第一處理變數包含處理時間,射頻功率,處理室壓力,氣體組成,氣流,基板偏壓,以及射頻頻率的其中之一。
  21. 如申請專利範圍第17項之最佳化一基板蝕刻之裝置,其中該第二處理變數包含處理時間,射頻功率,處 理室壓力,氣體組成,氣流,基板偏壓,以及射頻頻率的其中之一。
  22. 如申請專利範圍第17項之最佳化一基板蝕刻之裝置,其中該基板為半導體晶圓。
  23. 如申請專利範圍第17項之最佳化一基板蝕刻之裝置,其中該基板為一玻璃面板。
  24. 如申請專利範圍第17項之最佳化一基板蝕刻之裝置,其中該轉換每數秒產生一次。
TW094145935A 2004-12-22 2005-12-22 電漿處理間依序轉換以最佳化基板之方法及裝置 TWI386994B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/022,983 US7459100B2 (en) 2004-12-22 2004-12-22 Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate

Publications (2)

Publication Number Publication Date
TW200633051A TW200633051A (en) 2006-09-16
TWI386994B true TWI386994B (zh) 2013-02-21

Family

ID=36594380

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094145935A TWI386994B (zh) 2004-12-22 2005-12-22 電漿處理間依序轉換以最佳化基板之方法及裝置

Country Status (7)

Country Link
US (1) US7459100B2 (zh)
EP (1) EP1831429A4 (zh)
JP (1) JP5038151B2 (zh)
KR (1) KR101144021B1 (zh)
CN (1) CN101287860B (zh)
TW (1) TWI386994B (zh)
WO (1) WO2006068971A2 (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
CN102427038A (zh) * 2011-09-15 2012-04-25 上海华力微电子有限公司 一种先进的自动调整刻蚀均匀性的方法
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
US11121229B2 (en) * 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
CN116535108B (zh) * 2023-07-05 2023-09-22 上海传芯半导体有限公司 衬底回收方法、再生的光掩模基版及光掩模版的制造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH053180A (ja) * 1990-11-16 1993-01-08 Nkk Corp AlまたはAl合金のエツチング方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4182646A (en) 1978-07-27 1980-01-08 John Zajac Process of etching with plasma etch gas
US5081590A (en) * 1988-02-29 1992-01-14 Westinghouse Electric Corp. Computer aided technique for post production tuning of microwave modules
JP3210469B2 (ja) * 1993-03-12 2001-09-17 株式会社日立製作所 半導体集積回路装置の製造方法
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JPH0745585A (ja) * 1993-07-29 1995-02-14 Hitachi Ltd ドライエッチング方法
JPH088231A (ja) * 1994-06-21 1996-01-12 Sanyo Electric Co Ltd 膜の平坦化方法
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
ATE251341T1 (de) * 1996-08-01 2003-10-15 Surface Technology Systems Plc Verfahren zur ätzung von substraten
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6100200A (en) 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6459945B1 (en) * 1999-05-13 2002-10-01 Advanced Micro Devices, Inc. System and method for facilitating determining suitable material layer thickness in a semiconductor device fabrication process
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6633793B2 (en) * 2001-08-13 2003-10-14 Promos Technologies Method to reduce lot-to-lot variation of array threshold voltage in a DRAM device
US20030139907A1 (en) * 2002-01-24 2003-07-24 Mccarthy Robert J System, Method, and Product for Nanoscale Modeling, Analysis, Simulation, and Synthesis (NMASS)
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US7085676B2 (en) * 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH053180A (ja) * 1990-11-16 1993-01-08 Nkk Corp AlまたはAl合金のエツチング方法

Also Published As

Publication number Publication date
JP5038151B2 (ja) 2012-10-03
EP1831429A4 (en) 2008-10-22
KR101144021B1 (ko) 2012-05-09
EP1831429A2 (en) 2007-09-12
TW200633051A (en) 2006-09-16
KR20070091673A (ko) 2007-09-11
US7459100B2 (en) 2008-12-02
WO2006068971B1 (en) 2007-12-21
JP2008526025A (ja) 2008-07-17
WO2006068971A3 (en) 2007-09-20
WO2006068971A2 (en) 2006-06-29
CN101287860B (zh) 2011-10-05
CN101287860A (zh) 2008-10-15
US20060131271A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
TWI386994B (zh) 電漿處理間依序轉換以最佳化基板之方法及裝置
US11410860B2 (en) Process chamber for etching low k and other dielectric films
US11257685B2 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
KR101164829B1 (ko) 일 세트의 플라즈마 처리 단계를 튜닝하는 방법 및 장치
Yoon et al. Discharge physics and atomic layer etching in Ar/C4F6 inductively coupled plasmas with a radio frequency bias
US7736914B2 (en) Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US9111727B2 (en) Plasma tuning rods in microwave resonator plasma sources
US10991594B2 (en) Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
Pu Plasma Etch Equipment
TWI747931B (zh) 成膜方法
JPH059742A (ja) プラズマ処理装置及び装置構成方法
US9728416B2 (en) Plasma tuning rods in microwave resonator plasma sources
CN116988065A (zh) 一种类光栅结构金属电极制造方法和电极

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees