CN101276141A - 对由图案分成的特征进行基于模式的opc的方法和设备 - Google Patents

对由图案分成的特征进行基于模式的opc的方法和设备 Download PDF

Info

Publication number
CN101276141A
CN101276141A CNA2007103051838A CN200710305183A CN101276141A CN 101276141 A CN101276141 A CN 101276141A CN A2007103051838 A CNA2007103051838 A CN A2007103051838A CN 200710305183 A CN200710305183 A CN 200710305183A CN 101276141 A CN101276141 A CN 101276141A
Authority
CN
China
Prior art keywords
pattern
correction
error
optical near
technology
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007103051838A
Other languages
English (en)
Other versions
CN101276141B (zh
Inventor
徐端夫·斯蒂芬
朴正哲
道格拉斯·范登布罗埃克
陈剑方
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML FRISKET TOOLS BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML FRISKET TOOLS BV filed Critical ASML FRISKET TOOLS BV
Publication of CN101276141A publication Critical patent/CN101276141A/zh
Application granted granted Critical
Publication of CN101276141B publication Critical patent/CN101276141B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display

Abstract

一种用于将包含待成像的特征的目标电路图案分解成为多个图案的方法。该工艺包括以下步骤:将待印制的特征分离成第一图案和第二图案;在第一图案和第二图案上执行第一光学邻近校正工艺;确定第一图案和第二图案的成像性能;确定第一图案和第一图案的成像性能之间的第一误差,以及确定第二图案和第二图案的成像性能之间的第二误差;利用第一误差调整第一图案以产生修正的第一图案;利用第二误差调整第二图案以产生修正的第二图案;以及对修正的第一图案和修正的第二图案应用第二光学邻近校正工艺。

Description

对由图案分成的特征进行基于模式的OPC的方法和设备
相关申请
本申请要求在2006年9月13日提出的美国临时申请No.60/844,074的优先权,其全部内容合并在此作为参考。
技术领域
本发明主要涉及对掩模设计进行光学邻近校正(OPC,opticalproximity correction),并且更特别地,涉及对已经分解成多个图案的掩模设计执行OPC的方法,其中将利用多个曝光工艺(也称为双图案化(double-patterning,DPT))使掩模成像。
背景技术
例如,在集成电路(ICs)的制造中,可使用光刻设备。在这样情况下,掩模可包含与IC的单个层相对应的电路图案,并且可在衬底(硅晶片)上的目标部分(例如,包含一个或多个管芯)上使这个图案成像,其中该衬底已经涂敷有辐射敏感材料(抗蚀剂)层。通常,单个晶片包括通过投影系统一次一个地连续被照射的邻近目标部分的整个网络。在一种类型的光刻投影设备中,通过一次性地将整个掩模图案曝光到目标部分上来照射每个目标部分。通常这样的设备称为晶片步进器(wafer stepper)。在通常称为步进-扫描设备(step-and-scan apparatus)的可选设备中,通过在投影束下沿给定参考方向(“扫描”方向)逐渐地扫描掩模图案来照射每个目标部分,同时沿与这个方向平行或反平行的方向同步扫描衬底台。通常,由于投影系统具有放大因数M(通常<1),因此扫描衬底台的速度V是扫描掩模台的速度的M倍因数。关于在此描述的光刻装置的更多信息例如可以从US6,046,792获取,该专利被合并在此作为参考。
在使用光刻投影设备的制造工艺中,在至少部分地被辐射敏感材料(抗蚀剂)层覆盖的衬底上使掩模图案成像。在这个成像步骤之前,衬底可能经历各种步骤,例如涂底、抗蚀剂涂敷和软烘烤。在曝光后,衬底经历其他步骤,例如曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检查。这一系列步骤用做使器件(例如,IC)的单个层图案化的基础。然后,该图案化的层经受各种步骤,例如刻蚀、离子注入(掺杂)、金属化、氧化、化学机械抛光等等,所有这些步骤旨在完成单个层。如果需要几个层,则针对每个新的层将需要重复整个过程或其变形方式。最后,器件阵列将出现在衬底(晶片)上。然后,通过诸如划片或锯割等技术使这些器件彼此分离开,由此分立的器件就可以安装在承载体上,连接到管脚上,等等。
为了简单起见,投影系统在下文中被称为“透镜”;然而,这个术语应该被广义地解释为包含各种类型的投影系统,例如包括折射光学装置、反射光学装置和反折射光学系统。辐射系统也可以包括根据用于引导、成形或控制投影辐射束的任意设计类型进行操作的元件,并且下面将这样的元件共同或单独地称为“透镜”。进一步,光刻设备可以是具有两个或更多衬底台(和/或两个或更多掩模台)的类型。在这样的“多个台”装置中,可平行使用附加台,或当使用一个或多个其他台曝光时,可在一个或多个台上实施准备步骤。对双台光刻设备进行的说明例如在US5969441中公开,在此并入作为参考。
上面提到的光刻掩模包括与将要集成在硅晶片上的电路元件相对应的几何图案。利用CAD(计算机辅助设计)程序,形成用于产生这种掩模的图案,这个工艺往往称为EDA(电子设计自动化)。为生成功能掩模,大部分CAD程序遵循一组预定设计规则。通过工艺和设计限制来设定这些规则。例如,设计规则限定电路器件(例如门、电容器等等)或互连导线间的间隔公差,以保证电路器件或导线不会以不希望的方式相互影响。典型地,设计规则限制称为“临界尺寸”(critical dimensions,CD)。电路的临界尺寸可定义为线或孔的最小宽度,或是两个线或两个孔间的最小间隔。因而,CD确定所设计电路的总体大小和密度。
当然,集成电路制造中的目标之一是在晶片上(通过掩模)忠实地复制原始电路设计。当前受到关注的一种技术是双图案化或DPT。总的来说,双图案化是涉及将密集电路图案分裂(也就是,分割或分离)为两个单独的、密度较低的图案的曝光方法。然后,利用两个单独的掩模(其中一个掩模被用于成像一个密度较低的图案,而另一个掩模被用于成像另一个密度较低的图案),将简单化的图案分别印制在目标晶片上。进一步,在第一图案的线之间印制第二图案,使得成像的晶片的特征间距例如是两个掩模中任意一个掩模上所具有的特征间距的一半。这个技术有效地降低了光刻工艺的复杂性,提高了可得到的分辨率,并且使得能够比用其他方法印制更小的特征。
然而,尽管能够确定怎样将目标图案分离成两个单独的掩模,如下面进一步解释的,但是各个掩模的标准OPC处理往往不足以得到可接受的成像性能。这部分是由于较强的邻近效应,在使CD越来越小的特征(例如在32nm模式)成像时会出现所述邻近效应。实际上,对单个掩模的标准OPC处理往往导致最后成像的图案呈现断裂的轮廓线或线断裂。
因此,本发明的目的是提供一种对掩模设计应用OPC的方法和设备,其中在双图案化过程中已经将所述掩模设计分解成多个图案/掩模,所述方法和设备能够克服上述问题。
发明内容
根据前述内容,本发明的目的是提供一种改进的工艺,用于分解将在双图案化工艺中被使用的目标图案,该工艺提供了改进的成像结果。
更具体地,本发明涉及把包含将要被成像的特征的目标电路图案分解为多个图案的方法。该工艺包括步骤:将待印制的特征分成第一图案和第二图案的步骤;在第一图案和第二图案上实施第一光学邻近校正处理;确定第一图案和第二图案的成像性能;确定第一图案和第一图案的成像性能间的第一误差,以及确定第二图案和所述第二图案的成像性能间的第二误差;利用第一误差调整第一图案,以产生修正的第一图案;利用第二误差调整第二图案,以产生修正的第二图案;以及对修正的第一图案和修正的第二图案应用第二光学邻近校正处理。
本发明的工艺允许给定目标图案分解成为多个图案,当在多重照射工艺(诸如双图案化等)中被成像时,所述多个图案在可接受的误差标准内精确地复制希望的目标图案。重要地,前述工艺消除了在分解图案之间的缝接区域内出现的断裂线和断开。
尽管在本文中具体描述了在IC制造过程中使用本发明,但是,应该是清楚地理解,本发明具有许多其他可能的应用。例如,可以用在集成光学系统、磁畴存储器的引导和检测图案、液晶显示板、薄膜磁头等的制造中。本领域技术人员应该理解,在这种可选应用的情况中,本文中术语“掩模版”、“晶片”或“管芯”的任何使用应认为分别由更上位的术语“掩模”、“衬底”和“目标部分”代替。
通过参考下面详细说明和附图,更好地理解本发明本身以及其它目的和优点。
附图说明
图1和2是图案分解工艺的示例,其中图1示出双图案化技术(DPT),条件为:K1<0.25,CLN方法示例,利用NA0.93的目标CD 32nm半间距→k1=0.154;图2也为CLN(cont.)方法,条件:使用NA0.93的目标CD 32nm半间距→k1=0.154
图3示出图1和2中所示的双曝光工艺的所得图像的平均图像强度,图中所示:DEL CLN成像,其中两次曝光之后,平均强度调制是平的;最终印出的图像是每个曝光的“或”;需要材料/工艺,以保护对于每个曝光的图案。
图4示出了关于如何将给定图案特征分成单独特征的示例,示出了图案化中的图案分裂效果。
图5示出了对图4中“分离类型1”所示分解图案示例应用OPC技术,其中使用用于1/5波长的印制特征的ArF具有很强的邻近效应。
图6示出了DPT OPC方法的示例性流程图,该流程图示出了根据本发明将目标图案分解成多个图案的工艺和对分解的图案应用OPC处理的工艺。
图7-11示出了在图6流程图中示出的工艺的示例,其中图9和图10示出器件示例。
图12示出了根据本发明实施例的计算机系统的示例性方框图,其中所述计算机系统能够实现获得最优化短程闪烁模式参数(optimized short-range flare model parameters)的工艺。
图13示意性示出了适合与在本发明实施例的辅助下设计的掩模一起使用的示例性光刻投影设备。
具体实施方式
本公开内容举例说明了一种对掩模图案应用OPC处理的方法,其中所述掩模图案是通过将目标图案分解成用在双图案化工艺中的多个掩模图案而得到的。在讨论本发明的OPC工艺前,提供分解工艺的简短概要。
用于将目标图案分成(也称为着色)两个单独图案的各种技术是公知的,其中所述两个单独的图案后来被用作产生两个单独掩模的基础。一种这样的方法被称为线着色方法(coloring line method,CLN)。图1-3示出线着色方法的示例性过程。参考图1,例如基于用于识别哪个特征将被分配给对应掩模的间距,首先对目标图案10着色。在给定示例中,短特征12被分配给第一掩模16,长特征14被分配给第二掩模18。如所示,在形成的掩模中的特征之间形成的间距是原始目标掩模中特征之间的间距的两倍,从而允许合适的特征成像。在目标图案分解成为单独的掩模/图案后,如图1中所示,可对单个掩模20、22应用OPC。
一旦应用OPC处理,晶片经受两次曝光,首先利用第一掩模20曝光,然后利用第二掩模22曝光(然而,曝光的顺序也可以是相反的),然后刻蚀,以使得如图2中所示,在晶片中产生希望的图案(见附图标记24)。形成的图像代表第一和第二曝光工艺的“或”组合。参考图3,值得注意的是,在双曝光工艺后,平均强度调制大体是平的。
进一步,应该注意的是,现有各种方法和技术用于将目标图案分解或分离成为多个图案。首先,具有基于规则和基于模式的两种技术,用于进行分解工艺。其次,还具有许多选择用于对给定图案进行分解工艺。图4图示了关于相同图案分解的许多不同示例。参考图4,“分离类型1”示出在垂直线45的中心处分解具有水平线41、43的H形特征。“分离类型2”示出在垂直线45与水平线43的内边缘接触的点处分解H形特征。“分离类型3”示出在垂直线45与水平线43的外边缘接触的点处分解H形特征。
一旦将目标图案分离成两个或更多图案,就可对单个图案应用OPC技术。然而,当前工艺是直接对分解的图案应用OPC处理。图5示出了对图4的“分离类型1”中所示的分解图案应用OPC技术。如所示,对应于分解特征41的分解特征经受OPC处理(见附图标记51)。值得注意的是,在OPC处理过程中,修正了特征41的形状。另外,OPC处理可以包括将辅助特征52(或散射条特征)添加到掩模图案。接着,利用经OPC处理的图案51产生掩模,所述掩模被说明(或模拟)用于确定从经OPC处理的特征51产生的印制轮廓。
继续前述示例,图5中图示了形成的印制轮廓55。如所示,尽管在成像前,对特征进行OPC处理,但是,对应于特征的所得印制轮廓具有变短的互连段。在单个掩模图案中设置的相对特征43(其也经历了OPC处理)也显示变短的互连段。结果,多个成像工艺的组合产生了具有如图5所示的不希望有的线断裂的最终轮廓59,并且因此无法产生希望的轮廓57。值得注意的是,由于与32nm操作模式下较小临界尺寸需求相关的较强邻近效应,在32nm模式下这个问题变得更明显。本发明的工艺消除了前述问题。
图6示出根据本发明的示例性流程图,该流程图图示出将目标图案分解成多个图案的工艺以及对分解图案应用OPC处理的工艺。参考图6,工艺中的第一步骤(步骤61)是定义原始目标(也称为目标图案)。然后,利用任何适合用于分解图案的基于规则或基于模式的技术,将目标图案分解(步骤62)成多个图案(63、64)。值得注意的是,典型地,由于在任意一个掩模图案中可以放置非临界特征,因此,分解工艺可集中在给定目标图案中的密集间隔的特征上。下一步骤(步骤65)是对每个分解图案应用OPC处理,并且相对于缝接区域(即,其中目标图案中的特征彼此接触、但是在分解图案中彼此分离的区域,例如如图4中所示的垂直特征45)中的目标图案确定分解图案的所得轮廓的误差。例如通过以下步骤完成误差确定:通过模拟每个分解图案的成像性能,然后将模拟结果与分解图案相比较,以确定模拟的和希望的分解轮廓的差异或误差。值得注意的是,任何适合的OPC处理,例如基于规则或基于模式的OPC处理,都可以用于将OPC应用到分解图案。另外,可利用任何适合的模拟程序,以确定用OPC处理的分解图案的成像性能。
在下一步骤(步骤67)中,利用每个缝接区域中的误差作为基础,来调节原始分解图案以生成新的分解图案,从而变成希望的目标图案。更具体地,将误差量(例如,显示的特征变短的量)加到对应缝接区域中的原始分解图案上,以形成新的分解图案。这例如可通过以下步骤完成:利用相应大小的多边形使其接近误差区域的大小,然后将表示误差的多边形增加到在设计的适当区域中的原始分解图案。注意,这些新形成的图案变成用于分解图案的目标设计。
接着,新形成的分解图案经受OPC处理(步骤69)。如在步骤65中,在步骤69中,可利用任何适合的OPC处理施加OPC处理。优选的是,可在步骤65和步骤69中都利用相同的OPC。一旦对新形成的分解图案应用OPC处理,形成的图案(71和73)代表着将在多照射工艺中利用的最后图案。在可选步骤(步骤75)中,值得注意的是,作为步骤69的结果而产生的图案能够经受模拟两个掩模成像性能的确认工艺,从而确定由两个掩模的组合曝光所产生的图像生成在可接收的误差允许量之内的所需目标图案。这个确认工艺也可通过适合的模拟工艺执行。
图7和图8提供前述工艺的图示。首先参考图7,从H形特征的原始图案或目标图案开始,这个布局分裂成为两个特征,缝接区域在垂直连接特征的中心。如图7中所示的布局1和布局2代表原始分解图案。接着,对布局1和布局2应用OPC处理,然后执行模拟工艺,以为每个布局1和布局2生成希望的印刷轮廓。此后,形成的印刷/模拟轮廓与原始分解图案相比较,以确定缝接区域中印刷/模拟轮廓与原始分解图案之间的误差。值得注意的是,误差确定是基于但是不限于原始分解图案和印刷/模拟轮廓之间的一维比较或二维比较。然后,将在每个缝接区域中的误差转变为代表误差量或误差值的多边形,并且将对应每个缝接区域的给定多边形增加到相应缝接区域中的原始分解图案上,以产生变成目标图案的新的分解图案。当然,其它确定成像误差的方法以及对原始分解图案进行相应调整的方法也是可能的。
然后,参考图8,从新产生的分解图案开始,这些图案经受OPC处理,优选地,执行与对原始分解图案所实施的相同的OPC处理。从这个OPC处理产生的图案代表将要在双图案化工艺中利用的图案。然后在多个照射步骤中利用这些图案使晶片成像,以产生原始的H形目标特征。如图8中所示,形成的成像图案不具有任何断裂的轮廓,并且精确地复制了H形图案。还值得注意的是,如果在实际成像之前执行可选的确认步骤,图8中示出的经过OPC的图案可经受模拟处理,以确定形成的成像晶片是否产生了期望的结果。
图9和10图示了将本发明的工艺应用到要成像的目标图案的另一个示例。参考图10,目标图案101分解成为两个单独图案并且经受OPC处理(见,图案102和103)。模拟由图案102和103的相继曝光产生的图像,以确定缝接区域(见例如图9中区域104)内在目标图案和模拟图案之间形成的误差。确定了该误差量,然后利用这个误差量,以在缝接区域中将特征延伸该误差量,从而产生新的分解图案105和106。图案105和106然后经受OPC处理(见,图案107和108),此后,被用于在实际成像工艺中将目标特征成像。在图10中还图示了最终的图案化结果。最后,图11图示了由现有的成像工艺产生的成像结果与由本发明工艺产生的成像结果之间的比较。灰轮廓111代表本发明的成像结果,而黑实线轮廓112代表现有工艺的成像结果。如所示,黑实线轮廓在整个形成的图案上具有许多不希望的断裂。
图12是图示用于辅助执行上述工艺的计算机系统100的方块图。计算机系统100包括总线102或为传输信息的其它通信机构,以及与总线102连接的用于处理信息的处理器104。计算机系统100还包括主存储器106,例如随机存取存储器(RAM)或其它动态存储装置,所述主存储器106连接到总线102、用于存储信息和将由处理器104执行的指令。在执行将由处理器104执行的指令的过程中,主存储器106还用于存储临时变量或其它中间信息。计算机系统100进一步包括只读存储器(ROM)108或其它静态存储装置,其连接到总线102、用于存储静态信息和用于处理器104的指令。设置了连接到总线102的存储器件110,例如磁盘或光盘,用于存储信息和指令。
通过总线102,计算机系统100可以连接到显示器112上,例如用于将信息显示给计算机用户的阴极射线管或平板或触摸板显示器。包括字母数字和其他键的输入装置114连接到总线102,用于将信息和指令选择传输到处理器104。另一种类型的用户输入装置为光标控制116,例如鼠标、轨迹球,或光标方向键,用于将方向信息和指令选择传输到处理器104并且用于控制光标在显示器12上的移动。典型地,这个输入装置具有在两个轴上的两个自由度,第一轴(例如,x)和第二轴(例如,y),这允许装置在平面内定位。触摸板(屏)显示器也可用作输入装置。
根据本发明的一个实施例,所公开的方法可以通过计算机系统100响应处理器104,执行包含在主存储器106中的一个或多个指令中的一个或多个序列来实现。这样的指令可从另一个计算机可读介质(例如存储装置110)读入到主存储器106中。包含在主存储器106中的指令序列的执行使得处理器104执行这里所述的工艺步骤。在多处理设置中的一个或更多处理器也可用于执行包含在主存储器106中的指令序列。在可选实施例中,可用硬件电路代替软件指令或与软件指令结合来实施本发明。因此,本发明的实施例不限于硬件电路和软件的任何特定结合。
在此使用的术语“计算机可读介质”指的是参与对处理器104提供用于执行的指令的任何介质。这样的介质可采用许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如存储装置110等。易失性介质包括动态存储器,例如主存储器106。传输介质包括同轴电缆、铜线和光纤,包括包含总线102的电线。传输介质也可以是声波或光波的形式,例如在射频(RF)和红外(IR)数据通信过程中产生的那些波。计算机可读介质的一般形式包括例如软磁盘、柔性磁盘、硬磁盘、磁带、任何其它磁介质、CD-ROM、DVD、任何其它光学介质、打孔卡、纸带、任何其它具有孔图案的物理介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它存储芯片或磁带盒、如下文中表述的载波、或计算机可从其中读取数据的任何其它介质。
计算机可读介质的各种各样形式可能涉及到载运一个或多个指令的一个或多个序列至处理器104,用于执行。例如,在远程计算机的磁盘上初始携带指令。远程计算机可将指令载入到其动态存储器中,并且使用调制解调器在电话线上将指令发送。计算机系统100本地的调制解调器可以在电话线上接受数据,并且使用红外传输器以将数据转换成红外信号。连接到总线102的红外探测器接收在红外信号内携带的数据并且将数据放置到总线102上。总线102运载数据到主存储器106,处理器104从主存储器106重新得到指令并执行指令。可选择地,可在处理器104执行之前或之后,将由主存储器106接收的指令存储在存储装置110上。
优选地,计算机系统100还包括连接到总线102的通信接口118。通信接口118提供连接到网络连接120的双向数据通信,该网络连接120连接到本地网络122。例如,通信接口118可为综合业务数字网(ISDN)卡或调制解调器,以为相应类型的电话线提供数据通信连接。作为另一示例,通信接口118可为局域网(LAN)卡,以为兼容LAN提供数据通信连接。还可以实现无线连接。在任何的这样的实施方法中,通信接口118发送和接收电的、电磁的或光学的信号,这些信号携带着表示各种信息的数字数据流。
典型地,网络连接120通过一个或多个网络向其它数据装置提供数据通信。例如,网络连接120通过本地网络122提供至主计算机124或由因特网服务提供者(ISP)126操作的数据设备的连接。ISP126反过来通过万维信息包数据通信网络(worldwide packet datacommunication network)提供数据通信服务,其中所述万维信息包数据通信网络如今一般称为“因特网”128。本地网络122和因特网128都使用携带数字数据流的电的、电磁的或光学的信号。通过各种网络的信号和网络连接120上的信号以及通过通信接口118的信号是传输信息的载波的示例性形式,它们将数字数据携带到计算机系统100以及从计算机系统100携带数字数据。
通过网络、网络连接120和通信接口118,计算机系统100可发送消息和接收数据(包括程序代码)。在因特网示例中,通过因特网128、ISP126、本地网络122和通信接口118,服务器130能为应用程序传输请求代码。根据本发明,例如,一个这样的下载应用为公开的实施例工艺而提供。当接收时,接收的代码可由处理器104执行,和/或存储在存储装置110或其它非易失性存储器中,用于稍后执行。在这个方式中,计算机系统100可得到采用载波形式的应用代码。
图13示意性显示了适合利用本发明的工艺所设计的掩模成像的光刻投影设备。该设备包括:
-用于提供投影辐射束PB的辐射系统Ex、IL。在这个特定情况中,辐射系统还包括辐射源LA;
-第一对象台(掩模台)MT,其设置有掩模保持器,用于保持掩模MA(例如,掩模版),并且连接到为相对物品PL精确定位掩模的第一定位装置;
-第二对象台(衬底台)WT,其设置有衬底保持器,用于保持衬底W(例如,涂敷抗蚀剂的硅晶片),并且连接到用于相对物品PL精确定位衬底的第二定位装置;
-投影系统(“透镜”)PL(例如,折射的、反射的或折射兼反射的光学系统),用于将掩模MA的被辐射部分成像在衬底W的目标部分C(例如,包括一个或多个管芯)上。
如这里所述的,所述设备是透射型的(例如,具有透射掩模)。然而,通常,它还可以是反射型的,例如(具有反射掩模)。可选择地,所述设备可使用另一类型的图案形成装置,作为掩模使用的可选方案;示例包括可编程反射镜阵列或LCD矩阵。
源LA(例如,水银灯或准分子激光器)产生辐射束。该光束直接或在穿过调整装置(例如扩束器)Ex之后被送入照射系统(照射器)IL。照射器IL包含用于设置辐射束中强度分布的外部和/或内部径向范围(通常分别称为σ-外部和σ-内部)的调节装置AM。另外,通常,它包括各种其它元件,例如积分器IN和聚光器CO。这样,打到掩模MA上的束PB在其截面上具有希望的均匀性和强度分布。
对于图13,应注意的是,源LA可在光刻投影设备(例如,通常当源LA是水银灯时的情况)的外壳之内,但是也可能是远离光刻投影设备,将产生的辐射束引导至设备中(例如,在适合的导引镜的帮助下);后一设想一般是当源LA为准分子激光器(例如,基于KrF、ArF或F2发射激光)时的情况。本发明包括这两种设想。
光束PB随后截击保持在掩模台MT上的掩模MA。穿过掩模MA后,光束PB通过透镜PL,该透镜PL将光束PB聚焦在衬底W的目标部分C上。在第二定位装置(和干涉测量装置IF)的帮助下,衬底台WT可以精确地移动,例如,从而将不同的目标部分C定位在光束PB的光路中。类似地,例如,在从掩模库机械获取掩模后或在扫描过程中,可用第一定位装置将掩模MA相对于束PB的路径精确地定位。一般而言,在长行程模块(粗调定位)和短行程模块(细调定位)的帮助下,可实现对象台MT、WT的移动,在图13中没有清楚地显示这些。然而,在晶片步进器(与步进-扫描工具相反)的情况下,掩模台MT可仅仅连接到短行程致动器,或可以被固定。
可在两种不同模式下使用的所述专用工具:
-在步进模式中,掩模台MT保持基本上静止,并且在一次(也就是,单“闪”)将整个掩模图像投影在目标部分C上。然后沿x和/或y方向将衬底台WT移位,以便可通过束PB辐射不同目标部分C。
-在扫描模式中,基本上应用相同情形,除了给定目标部分C不在单“闪”中被曝光。相反地,掩模台MT在给定的方向上(所谓“扫描方向”,例如y方向)可以以速度v移动,以便投影束PB引起在整个掩模图像上的扫描;同时地,在相同或相反方向上以速度V=Mv同时移动衬底台WT,其中M为透镜PL的放大率(典型地,M=1/4或1/5)。在这种方式中,可曝光相对大的目标部分C,而不用牺牲分辨率。
尽管已详细地说明和图示了本发明,但是,应该清楚地理解,本发明仅通过图示和示例的方式进行了说明,而并非用于限制,本发明的范围仅由随附的权利要求项来限定。

Claims (16)

1. 一种用于将包括待印制到晶片上的特征的目标电路图案分解成为多个图案的方法,包括步骤:
将待印制的所述特征分离成第一图案和第二图案;
在所述第一图案和所述第二图案上,执行第一光学邻近校正工艺;
确定所述第一图案和所述第二图案的成像性能;
确定在所述第一图案和所述第一图案的所述成像性能之间的第一误差,以及确定在所述第二图案与所述第二图案的所述成像性能之间的第二误差;
利用所述第一误差调整所述第一图案,以产生修正的第一图案;
利用所述第二误差调整所述第二图案,以产生修正的第二图案;以及
对所述修正的第一图案和所述修正的第二图案应用第二光学邻近校正工艺。
2. 根据权利要求1所述的用于分解目标电路图案的方法,其中,利用基于规则的分解工艺,将所述特征分离成所述第一图案和所述第二图案。
3. 根据权利要求1所述的用于分解目标电路图案的方法,其中,利用基于模式的分解工艺,将所述特征分离成所述第一图案和所述第二图案。
4. 根据权利要求1所述的用于分解目标电路图案的方法,其中,所述第一光学邻近校正工艺和所述第二光学邻近校正工艺是相同的工艺。
5. 根据权利要求4所述的用于分解目标电路图案的方法,其中,所述第一光学邻近校正工艺和所述第二光学邻近校正工艺利用基于规则的校正工艺。
6. 根据权利要求4所述的用于分解目标电路图案的方法,其中,所述第一光学邻近校正工艺和所述第二光学邻近校正工艺利用基于模式的校正工艺。
7. 根据权利要求所述1的用于分解目标电路图案的方法,其中,在与第一图案和第二图案相关联的缝接区域中确定所述第一误差和所述第二误差。
8. 一种计算机可读存储介质,其存储用于将包括待印制到晶片上的特征的目标电路图案分解成为多个图案的计算机程序,其中所述计算机程序在执行时使计算机执行步骤:
将待印制的特征分离成第一图案和第二图案;
在所述第一图案和所述第二图案上执行第一光学邻近校正工艺;
确定所述第一图案和所述第二图案的成像性能;
确定在所述第一图案和所述第一图案的所述成像性能之间的第一误差,以及确定在所述第二图案和所述第二图案的所述成像性能之间的第二误差;
利用所述第一误差调整所述第一图案,以产生修正的第一图案;
利用所述第二误差调整所述第二图案,以产生修正的第二图案;以及
对所述修正的第一图案和所述修正的第二图案应用第二光学邻近校正工艺。
9. 根据权利要求8所述的计算机可读存储介质,其中,利用基于规则的分解工艺,将所述特征分离成所述第一图案和所述第二图案。
10. 根据权利要求所述8的计算机可读存储介质,其中,利用基于模式的分解工艺,将所述特征分离成所述第一图案和所述第二图案。
11. 根据权利要求所述8的计算机可读存储介质,其中,所述第一光学邻近校正工艺和所述第二光学邻近校正工艺是相同的工艺。
12. 根据权利要求11所述的计算机可读存储介质,其中,所述第一光学邻近校正工艺和所述第二光学邻近校正工艺利用基于规则的校正工艺。
13. 根据权利要求11所述的计算机可读存储介质,其中,所述第一光学邻近校正工艺和所述第二光学邻近校正工艺利用基于模式的校正工艺。
14. 根据权利要求8所述的计算机可读存储介质,其中,在与第一图案和第二图案相关联的缝接区域中确定所述第一误差和所述第二误差。
15. 一种器件制造方法,包括步骤:
(a)提供至少部分由辐射敏感材料层覆盖的衬底;
(b)使用成像系统提供投影辐射束;
(c)使用掩模上的图案,将图案赋予投影束的横截面内;
(d)将图案化的辐射束投影在辐射敏感材料层的目标部分上;
其中,在步骤(c)中,在掩模上提供图案包括步骤:
将待印制的特征分离成第一图案和第二图案;
在所述第一图案和所述第二图案上执行第一光学邻近校正工艺;
确定所述第一图案和所述第二图案的成像性能;
确定在所述第一图案和所述第一图案的所述成像性能之间的第一误差,以及确定在所述第二图案和所述第二图案的所述成像性能之间的第二误差;
利用所述第一误差调整所述第一图案,以产生修正的第一图案;
利用所述第二误差调整所述第二图案,以产生修正的第二图案;以及
对所述修正的第一图案和所述修正的第二图案应用第二光学邻近校正工艺。
16. 一种用于产生要在光刻工艺中利用的掩模的方法,所述方法包括步骤:
通过将待印制的特征分离成第一图案和第二图案,而将包括待印制到晶片上的特征的目标电路图案分解成为多个图案;
在所述第一图案和所述第二图案上执行第一光学邻近校正工艺;
确定所述第一图案和所述第二图案的成像性能;
确定在所述第一图案和所述第一图案的所述成像性能之间的第一误差,以及确定在所述第二图案和所述第二图案的所述成像性能之间的第二误差;
利用所述第一误差调整所述第一图案,以产生修正的第一图案;
利用所述第二误差调整所述第二图案,以产生修正的第二图案;
对所述修正的第一图案和所述修正的第二图案应用第二光学邻近校正工艺;以及
在所述第二光学邻近校正工艺之后,产生对应所述修正的第一图案的第一掩模,以及在所述第二光学邻近校正工艺之后,产生对应所述修正的第二图案的第二掩模。
CN2007103051838A 2006-09-13 2007-09-13 对由图案分成的特征进行基于模式的opc的方法和设备 Expired - Fee Related CN101276141B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US84407406P 2006-09-13 2006-09-13
US60/844,074 2006-09-13

Publications (2)

Publication Number Publication Date
CN101276141A true CN101276141A (zh) 2008-10-01
CN101276141B CN101276141B (zh) 2011-04-13

Family

ID=38870358

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007103051838A Expired - Fee Related CN101276141B (zh) 2006-09-13 2007-09-13 对由图案分成的特征进行基于模式的opc的方法和设备

Country Status (7)

Country Link
US (3) US8111921B2 (zh)
EP (1) EP1901122B1 (zh)
JP (1) JP4922112B2 (zh)
KR (1) KR100882260B1 (zh)
CN (1) CN101276141B (zh)
SG (1) SG141386A1 (zh)
TW (1) TWI382282B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101762988B (zh) * 2008-12-24 2012-11-14 Asml荷兰有限公司 优化方法和光刻单元
CN104025255A (zh) * 2011-12-30 2014-09-03 英特尔公司 用于工艺优化的相位调谐的技术
CN104850672A (zh) * 2014-02-18 2015-08-19 台湾积体电路制造股份有限公司 多重图案化掩模设计的掩模位移电阻-电感方法及执行方法
CN105679656A (zh) * 2014-11-21 2016-06-15 联华电子股份有限公司 图案验证方法
CN106154736A (zh) * 2015-03-12 2016-11-23 力晶科技股份有限公司 提升图案精密度的方法
CN106292174A (zh) * 2016-09-27 2017-01-04 上海华力微电子有限公司 一种提高光学临近修正准确性的方法
TWI679490B (zh) * 2018-11-13 2019-12-11 華邦電子股份有限公司 產生雙圖案光罩的處理方法以及其記錄媒體
CN113227899A (zh) * 2018-12-28 2021-08-06 Asml荷兰有限公司 用于在片段边界处产生图案形成装置图案的方法
CN113296369A (zh) * 2021-05-14 2021-08-24 长鑫存储技术有限公司 用于光学临近修正的图形量测方法及装置
CN114945870A (zh) * 2020-01-21 2022-08-26 应用材料公司 用于光刻拼接的系统、软件应用程序及方法
CN114953794A (zh) * 2022-05-20 2022-08-30 中国航空制造技术研究院 一种飞行器智能蒙皮线路制造方法

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1901121A2 (en) * 2006-09-13 2008-03-19 ASML MaskTools B.V. A method for performing pattern decomposition based on feature pitch
JP4779003B2 (ja) * 2007-11-13 2011-09-21 エーエスエムエル ネザーランズ ビー.ブイ. フルチップ設計のパターン分解を行うための方法
US7861196B2 (en) * 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
DE102008019341B4 (de) * 2008-04-15 2020-09-24 Carl Zeiss Smt Gmbh Verfahren zur Analyse von Masken für die Photolithographie
JP5341399B2 (ja) * 2008-06-03 2013-11-13 ルネサスエレクトロニクス株式会社 パターン検証方法、パターン検証装置、プログラム、及び半導体装置の製造方法
US8069423B2 (en) 2008-08-11 2011-11-29 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
US8209656B1 (en) * 2008-10-14 2012-06-26 Cadence Design Systems, Inc. Pattern decomposition method
KR100990880B1 (ko) 2008-11-12 2010-11-01 주식회사 동부하이텍 핫 스팟 라이브러리 생성 방법
WO2010085714A2 (en) * 2009-01-22 2010-07-29 Mentor Graphics Corporation Pre-opc layout editing for improved image fidelity
JP4989687B2 (ja) * 2009-06-30 2012-08-01 株式会社日立ハイテクノロジーズ パターン形状評価方法およびパターン形状評価装置
EP2317388A3 (en) * 2009-10-28 2014-05-14 Imec Method and system for wafer inspection
US8296695B1 (en) 2010-06-11 2012-10-23 Altera Corporation Method and apparatus for performing fast incremental resynthesis
US8404403B2 (en) 2010-06-25 2013-03-26 Intel Corporation Mask design and OPC for device manufacture
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8533638B2 (en) * 2011-04-05 2013-09-10 Nanya Technology Corporation Post-optical proximity correction photoresist pattern collapse rule
US8516402B1 (en) 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8683394B2 (en) * 2012-01-31 2014-03-25 Mentor Graphics Corporation Pattern matching optical proximity correction
US8782571B2 (en) * 2012-03-08 2014-07-15 Globalfoundries Inc. Multiple patterning process for forming trenches or holes using stitched assist features
US8745552B2 (en) * 2012-05-31 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. EDA tool and method, and integrated circuit formed by the method
US8677289B1 (en) * 2012-09-14 2014-03-18 Nanya Technology Corporation Method of generating assistant feature
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
TWI588595B (zh) * 2013-01-24 2017-06-21 聯華電子股份有限公司 光學鄰近修正方法
EP2871588A1 (en) * 2013-11-12 2015-05-13 Synopsys, Inc. Method for circuit design pattern recognition in a circuit description, system and computer program product
US9122835B2 (en) 2014-01-09 2015-09-01 United Microelectronics Corp. Method for generating layout of photomask
KR102253129B1 (ko) 2014-02-07 2021-05-18 삼성전자주식회사 더블 패터닝 공정을 위한 디자인 레이아웃 디콤포지션 방법
KR102219460B1 (ko) 2014-09-04 2021-02-24 삼성전자주식회사 반도체 장치의 레이아웃 분리 방법 및 이를 사용한 반도체 장치 제조 방법
KR102274837B1 (ko) 2014-09-04 2021-07-08 삼성전자주식회사 쿼드러플 패터닝 기술 공정을 위한 레이아웃 분리 방법 및 이를 사용한 반도체 장치 제조 방법
CN111443576B (zh) 2015-04-07 2023-04-07 联华电子股份有限公司 照明系统以及使用其形成鳍状结构的方法
US9524361B2 (en) 2015-04-20 2016-12-20 United Microelectronics Corp. Method for decomposing a layout of an integrated circuit
TWI745351B (zh) 2017-02-24 2021-11-11 聯華電子股份有限公司 半導體佈局圖案分割方法
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
CN109309091A (zh) 2017-07-28 2019-02-05 联华电子股份有限公司 图案化方法
US10762595B2 (en) 2017-11-08 2020-09-01 Steelcase, Inc. Designated region projection printing of spatial pattern for 3D object on flat sheet in determined orientation
KR102545141B1 (ko) * 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US10387602B2 (en) 2018-01-25 2019-08-20 United Microelectronics Corp. Method for generating masks for manufacturing of a semiconductor structure and method for manufacturing a semiconductor structure using the same
US10444622B2 (en) 2018-02-09 2019-10-15 United Microelectronics Corp. Method for generating masks for manufacturing of a semiconductor structure
EP3640735A1 (en) * 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
KR100512450B1 (ko) 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
US6430737B1 (en) * 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US7425391B2 (en) * 2001-10-02 2008-09-16 Guobiao Zhang Highly-corrected mask
US6851103B2 (en) * 2002-03-25 2005-02-01 Asml Masktools, B.V. Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
US6842889B2 (en) * 2002-08-06 2005-01-11 Micron Technology, Inc. Methods of forming patterned reticles
JP3875158B2 (ja) * 2002-08-09 2007-01-31 株式会社東芝 露光装置判定システム、露光装置判定方法、露光装置判定プログラム及び半導体装置の製造方法
US7147975B2 (en) * 2003-02-17 2006-12-12 Matsushita Electric Industrial Co., Ltd. Photomask
JP2005227666A (ja) * 2004-02-16 2005-08-25 Toshiba Corp マスクデータ補正方法と半導体装置の製造方法
JP4266189B2 (ja) * 2004-07-09 2009-05-20 株式会社東芝 半導体集積回路パターンの検証方法、フォトマスクの作成方法、半導体集積回路装置の製造方法、及び半導体集積回路パターンの検証方法を実現するためのプログラム
KR100634437B1 (ko) 2004-10-05 2006-10-16 삼성전자주식회사 반도체 소자 제조용 마스크 및 그 제조방법
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
KR100721205B1 (ko) 2006-04-21 2007-05-23 주식회사 하이닉스반도체 이중 노광을 위한 패턴 분할 및 광 근접 효과 보정 방법
KR100735535B1 (ko) 2006-07-10 2007-07-04 삼성전자주식회사 마스크 제작 방법

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101762988B (zh) * 2008-12-24 2012-11-14 Asml荷兰有限公司 优化方法和光刻单元
CN104025255B (zh) * 2011-12-30 2016-09-07 英特尔公司 用于工艺优化的相位调谐的技术
CN104025255A (zh) * 2011-12-30 2014-09-03 英特尔公司 用于工艺优化的相位调谐的技术
TWI615670B (zh) * 2011-12-30 2018-02-21 英特爾公司 微影光罩、用於對其進行相位調諧及製造其之電腦實行方法、以及微影系統
CN104850672B (zh) * 2014-02-18 2019-07-05 台湾积体电路制造股份有限公司 多重图案化掩模设计的掩模位移电阻-电感方法及执行方法
CN104850672A (zh) * 2014-02-18 2015-08-19 台湾积体电路制造股份有限公司 多重图案化掩模设计的掩模位移电阻-电感方法及执行方法
CN105679656A (zh) * 2014-11-21 2016-06-15 联华电子股份有限公司 图案验证方法
CN105679656B (zh) * 2014-11-21 2019-09-17 联华电子股份有限公司 图案验证方法
CN106154736A (zh) * 2015-03-12 2016-11-23 力晶科技股份有限公司 提升图案精密度的方法
CN106292174A (zh) * 2016-09-27 2017-01-04 上海华力微电子有限公司 一种提高光学临近修正准确性的方法
US10957555B2 (en) 2018-11-13 2021-03-23 Winbond Electronics Corp. Processing method for producing photomask with double patterns and storage medium thereof
TWI679490B (zh) * 2018-11-13 2019-12-11 華邦電子股份有限公司 產生雙圖案光罩的處理方法以及其記錄媒體
CN113227899A (zh) * 2018-12-28 2021-08-06 Asml荷兰有限公司 用于在片段边界处产生图案形成装置图案的方法
CN114945870A (zh) * 2020-01-21 2022-08-26 应用材料公司 用于光刻拼接的系统、软件应用程序及方法
CN114945870B (zh) * 2020-01-21 2023-12-29 应用材料公司 用于光刻拼接的系统、软件应用程序及方法
CN113296369A (zh) * 2021-05-14 2021-08-24 长鑫存储技术有限公司 用于光学临近修正的图形量测方法及装置
CN113296369B (zh) * 2021-05-14 2022-09-23 长鑫存储技术有限公司 用于光学临近修正的图形量测方法及装置
CN114953794A (zh) * 2022-05-20 2022-08-30 中国航空制造技术研究院 一种飞行器智能蒙皮线路制造方法

Also Published As

Publication number Publication date
EP1901122A3 (en) 2011-12-21
EP1901122A2 (en) 2008-03-19
KR20080024457A (ko) 2008-03-18
KR100882260B1 (ko) 2009-02-06
EP1901122B1 (en) 2014-05-07
US20080069432A1 (en) 2008-03-20
JP2008096991A (ja) 2008-04-24
US8111921B2 (en) 2012-02-07
CN101276141B (zh) 2011-04-13
TW200821768A (en) 2008-05-16
TWI382282B (zh) 2013-01-11
JP4922112B2 (ja) 2012-04-25
US20120122023A1 (en) 2012-05-17
US20130182940A1 (en) 2013-07-18
US8391605B2 (en) 2013-03-05
US8644589B2 (en) 2014-02-04
SG141386A1 (en) 2008-04-28

Similar Documents

Publication Publication Date Title
CN101276141B (zh) 对由图案分成的特征进行基于模式的opc的方法和设备
JP4717153B2 (ja) 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法
CN101271483B (zh) 分解图案的方法、器件制造方法及产生掩模的方法
US8132130B2 (en) Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
CN101241300B (zh) 分解图案的方法、计算机可读介质、器件制造方法和掩模
US7617476B2 (en) Method for performing pattern pitch-split decomposition utilizing anchoring features
JP4700664B2 (ja) アンカーリングフィーチャを利用したパターンピッチ分割分解を行うための方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ASML HOLLAND CO., LTD.

Free format text: FORMER OWNER: ASML FRISKET TOOLS B.V.

Effective date: 20140217

TR01 Transfer of patent right

Effective date of registration: 20140217

Address after: Holland Weide Eindhoven

Patentee after: ASML Holland Co., Ltd.

Address before: Holland Weide Eindhoven

Patentee before: ASML Frisket Tools B.V.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110413

Termination date: 20160913

CF01 Termination of patent right due to non-payment of annual fee