TWI382282B - 實施用於圖案分解特徵的以模型為基礎的光學近似校正(opc)之方法與裝置 - Google Patents

實施用於圖案分解特徵的以模型為基礎的光學近似校正(opc)之方法與裝置 Download PDF

Info

Publication number
TWI382282B
TWI382282B TW096134260A TW96134260A TWI382282B TW I382282 B TWI382282 B TW I382282B TW 096134260 A TW096134260 A TW 096134260A TW 96134260 A TW96134260 A TW 96134260A TW I382282 B TWI382282 B TW I382282B
Authority
TW
Taiwan
Prior art keywords
pattern
error
correction process
modified
optical approximation
Prior art date
Application number
TW096134260A
Other languages
English (en)
Other versions
TW200821768A (en
Inventor
Duan-Fu Stephen Hsu
Jung Chul Park
Den Broeke Douglas Van
Jang Fung Chen
Original Assignee
Asml Masktools Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Masktools Bv filed Critical Asml Masktools Bv
Publication of TW200821768A publication Critical patent/TW200821768A/zh
Application granted granted Critical
Publication of TWI382282B publication Critical patent/TWI382282B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Accessory Devices And Overall Control Thereof (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Description

實施用於圖案分解特徵的以模型為基礎的光學近似校正(OPC)之方法與裝置
此揭示內容大體而言係關於對一光罩設計實施光學近似校正(OPC),且更特定言之,其係關於一種對一光罩設計實施OPC之方法,該光罩設計已被分解為多個圖案且將利用多次曝光過程(亦被稱作雙圖案化(DPT))而使其成像。
可將微影裝置用於(例如)製造積體電路(IC)。在此狀況下,光罩可含有一對應於IC之個別層的電路圖案,且可將此圖案成像至一已塗佈有一層輻射敏感材料(抗蝕劑)之基板(矽晶圓)上之一目標部分(例如,包含一或多個晶粒)上。通常,單一晶圓將含有經由投影系統而一次一個地被連續照射的鄰近目標部分之整個網路。在一種類型之微影投影裝置中,藉由將整個光罩圖案一次性曝光至該目標部分上而照射每一目標部分;此裝置通常被稱作一晶圓步進器。在一替代性裝置(通常被稱作步進掃描裝置)中,藉由在一給定之參考方向("掃描"方向)上在投影束下漸次掃描光罩圖案而同時平行或反平行於此方向來掃描基板台而照射每一目標部分。由於通常投影系統將具有一放大因數M(通常<1),所以掃描基板台之速度V將為掃描光罩台之速度的M倍。關於如本文中所描述之微影設備的更多資訊可(例如)自以引用之方式併入本文之US 6,046,792找到。
在一使用一微影投影裝置之製造過程中,將一光罩圖案成像至一至少部分地由一層輻射敏感材料(抗蝕劑)覆蓋之基板上。在此成像步驟之前,基板可經歷各種程序,諸如上底漆、抗蝕劑塗佈及軟烘焙。在曝光之後,基板可經受其他程序,諸如後曝光烘焙(PEB)、顯影、硬烘焙及對所成像特徵之量測/檢測。將此系列之程序用作一用以圖案化一器件(例如,一IC)之個別層的基礎。此圖案化層可接著經歷各種過程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械研磨等等,所有該等過程皆意欲完成個別層。若需要若干層,則將必須針對每一新的層而重複整個程序或其之一變體。最終,一器件陣列將存在於基板(晶圓)上。接著藉由諸如切割或鋸切之技術而使此等器件彼此分離,由此可將該等個別器件安裝於一載體上、連接至插腳等等。
為簡單性起見,可在下文中將投影系統稱作"透鏡";然而,應將此術語廣泛地解釋為涵蓋各種類型之投影系統,包括(例如)折射光學元件、反射光學元件及反射折射混合系統。輻射系統亦可包括根據此等設計類型中之任一者而操作以用於導引、成形或控制投影輻射束的組件,且亦可在下文將此等組件共同地或單獨地稱作"透鏡"。此外,該微影裝置可為一具有兩個或兩個以上之基板台(及/或兩個或兩個以上之光罩台)的類型。在此"多台型"設備中,可並行使用額外台,或可在一或多個台上執行預備步驟,同時將一或多個其他台用於曝光。雙台型微影裝置描述於(例如)以引用之方式併入本文之US 5,969,441中。
上文所提到之光微影光罩包含對應於待整合至矽晶圓上之電路組件的幾何圖案。利用CAD(電腦輔助設計)程式來產生用於產生此等光罩之圖案,此過程通常被稱作EDA(電子設計自動化)。大多數CAD程式遵循一組預定設計規則以便產生功能性光罩。藉由處理及設計限制來設定此等規則。舉例而言,設計規則界定電路器件(諸如閘極、電容器等等)或互連線之間的間隔容限,以便確保該等電路器件或線不會以非吾人所要之方式而彼此相互作用。通常將該等設計規則限制稱作"關鍵尺寸"(CD)。可將一電路之關鍵尺寸界定為一條線或一個孔之最小寬度或者兩條線或兩個孔之間的最小間隔。因此,CD判定所設計之電路的整體大小及密度。
當然,積體電路製造中之一目標係在晶圓上忠實地再現原始電路設計(經由光罩)。當前受到注意之一種技術係雙圖案化或DPT。一般而言,雙圖案化係一種涉及將一密集電路圖案分割(亦即,劃分或分離)為兩個獨立、密集度較小之圖案的曝光技術。接著利用兩個獨立光罩(其中利用該等光罩中之一者來使該等密集度較小之圖案中之一者成像,且利用另一光罩來使其他密集度較小之圖案成像)而將經簡化之圖案獨立地印刷於一目標晶圓上。此外,將第二圖案印刷於第一圖案之線之間,使得被成像之晶圓具有(例如)一特徵間距,該特徵間距為在該兩個光罩中之任一者上所發現之特徵間距的一半。此技術有效地降低了微影過程之複雜性,從而改良了可達成之解析度且使得能夠印刷比原本可能之特徵小得多的特徵。
然而,儘管可判定如何將一目標圖案分離為兩個獨立光罩(如下文予以進一步解釋),但對各別光罩之標準OPC處理通常不足以獲得可接受之成像效能。此係部分地歸因於在成像特徵具有愈來愈小之CD時所發生的愈來愈強之近似效應(諸如在32 nm模式中)。實際上,對個別光罩之標準OPC處理將通常導致最終之成像圖案顯示斷裂之輪廓或線斷裂。
因此,本發明之一目標係提供一種用於將OPC應用於一已在雙圖案化過程中被分解為多個圖案/光罩之光罩設計的方法及裝置,此克服了上述問題。
鑒於以上內容,本發明之一目標係提供一經改良之用於實施分解一待用於雙圖案化過程中之目標圖案的過程,其提供經改良之成像結果。
更特定言之,本發明係關於一種用於將一含有待成像之特徵之目標電路圖案分解為多個圖案的方法。該過程包括以下步驟:將待印刷之特徵分離為一第一圖案及一第二圖案;對第一圖案及第二圖案實施一第一光學近似校正過程;判定第一圖案及第二圖案之成像效能;判定第一圖案與第一圖案之成像效能之間的一第一誤差,及第二圖案與該第二圖案之成像效能之間的一第二誤差;利用第一誤差來調整第一圖案以產生一經修改之第一圖案;利用第二誤差來調整第二圖案以產生一經修改之第二圖案;及將一第二光學近似校正過程應用於經修改之第一圖案及經修改之第二圖案。
本發明之過程允許將一給定之目標圖案分解為多個圖案,當在多次照明過程(諸如雙圖案化)中成像時,該等圖案精確地再現在可接受誤差標準內之所要目標圖案。重要的是,上述過程消除了發生於被分解圖案間之縫合區域中的斷裂線及斷開部分。
儘管可在本文中特定參考本發明在IC製造中之使用,但應明確地理解,本發明具有許多其他可能之應用。舉例而言,可將其用於製造整合光學系統、用於磁域記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等等。熟練技工將認識到,在此等替代性應用之背景中,應將術語"主光罩"、"晶圓"或"晶粒"在此本文中之任何使用看作可分別由更通用之術語"光罩"、"基板"及"目標部分"來替代。
可藉由參考以下實施方式及隨附圖式來更好地理解本發明自身以及進一步之目標及優勢。
此揭示內容說明了一種將OPC處理應用於光罩圖案的方法,該等光罩圖案由將一目標圖案分解為多個光罩圖案以用於雙圖案化過程中而產生。在論述本發明之OPC過程之前,提供分解過程之一簡短概述。
已知用於將目標圖案分離(亦被稱作著色)為兩個獨立圖案之各種技術,該等獨立圖案接著被用作產生兩個獨立光罩之基礎。一種此技術被稱作著色線方法(CLN)。圖1至圖3說明了著色線方法之一例示性過程。參看圖1,首先(例如)基於間距來為一目標圖案10著色,以識別哪些特徵將被指派至獨立光罩。在給定實例中,將短特徵12指派至一第一光罩16,且將長特徵14指派至一第二光罩18。如所示,所得光罩中特徵之間的所得間距係原始目標光罩中的特徵之間的間距的2倍,其藉此允許使該等特徵恰當地成像。在將目標圖案分解為獨立光罩/圖案之後,可將OPC應用於如圖1中所示之個別光罩20、22。
一旦應用OPC處理,晶圓便經受兩次曝光,第一次曝光利用第一光罩20且接著利用第二光罩22(然而,亦可顛倒曝光之次序)且接著進行蝕刻,以便在如圖2中所示之晶圓中產生所要之圖案(見參考數字24)。所得影像表示第一曝光過程與第二曝光過程之"OR"組合。參看圖3,應注意,在雙曝光過程之後,平均強度調變係大體上平坦的。
應進一步注意,存在用於將一目標圖案分解或分割為多個圖案之各種方法及技術。首先,存在用於實施分解過程的以規則為基礎之技術及以模型為基礎之技術兩者。第二,亦存在用於針對一給定圖案來實施分解過程之許多選項。圖4說明了關於相同圖案之分解的許多不同實例。參看圖4,"分割1"說明了具有水平線41、43的H形特徵在垂直線45之中心被分解。"分割2"說明了H形特徵在垂直線45接觸水平線43之內邊緣之點處被分解。"分割3"說明了H形特徵在垂直線43接觸水平線43之外邊緣之點處被分解。
一旦將目標圖案分離為兩個或兩個以上之圖案,便可將OPC技術應用於該等個別圖案。然而,當前過程將OPC處理直接應用於被分解之圖案。圖5說明了將OPC技術應用於在圖4之"分割1"中所示的被分解圖案實例。如所示,使對應於被分解特徵41的被分解特徵經受一OPC處理(見參考數字51)。應注意,特徵41之形狀在OPC處理期間得到修改。另外,OPC處理可包括將輔助特徵52(或散射條特徵)添加至光罩圖案。緊接著,利用經OPC處理之圖案51來產生一光罩,照明(或模擬)該光罩以判定由該經OPC處理之特徵51所產生的印刷輪廓。
繼續上述實例,圖5中說明了所得印刷輪廓55。如所示,儘管在成像之前使用OPC來處理該特徵,但對應於該特徵的所得印刷輪廓顯示一被截短之互連區段。安置於一獨立光罩圖案中的已使用OPC加以處理之相對特徵43亦顯示一被截短之互連區段。結果,該多次成像過程之組合結果產生了一顯示如圖5中所示之一非吾人所要之線斷裂的最終輪廓59,且因此並未產生所要之輪廓57。應注意,此問題在32 nm模式下變得更為顯著(歸因於在此操作模式下與較小關鍵尺寸要求相關聯之較強光學近似效應)。本發明之過程消除了上述問題。
圖6說明了根據本發明之例示性流程圖,其說明了將一目標圖案分解為多個圖案且將OPC處理應用於被分解圖案之過程。參看圖6,該過程中之第一步驟(步驟61)係界定原始目標(亦被稱作目標圖案)。接著利用用於分解圖案之任何合適之以規則為基礎或以模型為基礎的技術來將該目標圖案分解(步驟62)為多個圖案(63、64)。應注意,通常該分解過程集中於給定目標圖案中之密集間隔之特徵,因為非關鍵特徵可置放於任一光罩圖案中。下一步驟(步驟65)係將一OPC處理應用於被分解圖案中之每一者且判定縫合區域(亦即,其中目標圖案中之特徵彼此接觸但該等特徵在被分解圖案中彼此分離的區域,諸如如圖4中所示之垂直特徵45)中被分解圖案之所得輪廓相對於目標圖案的誤差。舉例而言,藉由模擬每一被分解圖案之成像效能且接著將模擬結果與被分解圖案相比較以判定該模擬輪廓與所要之被分解輪廓之間的差異或誤差來實現誤差判定。應注意,可利用任何合適之OPC處理(諸如一以規則為基礎或以模型為基礎之OPC處理)來將OPC應用於被分解圖案。另外,可利用任何合適之模擬程式來判定使用OPC加以處理之被分解圖案的成像效能。
在下一步驟(步驟67)中,將每一縫合區域中之誤差用作一調整原始被分解圖案以產生變為所要之目標圖案的新的被分解圖案的基礎。更特定言之,將誤差之量(例如,所顯示的特徵截短的量)添加至對應縫合區域中之原始被分解圖案以便形成新的被分解圖案。舉例而言,可藉由利用一對應大小之多邊形來約計誤差區域之大小且接著在該設計之適當區域中將表示誤差之多邊形添加至原始被分解圖案而實現此。如所提及的,此等新近形成之圖案變為被分解圖案之目標設計。
緊接著,使該等新近形成之被分解圖案經受一OPC處理(步驟69)。如在步驟65中,可在步驟69中利用任何合適之OPC處理來應用OPC處理。較佳在步驟65及步驟69中利用相同OPC。一旦將OPC處理應用於新近形成之被分解圖案,所得圖案(71及73)便表示待用於該多次照明過程中之最終圖案。在一任選步驟(步驟75)中,應注意,可使由於步驟69而產生之圖案經受一驗證過程,該驗證過程模擬兩個光罩之成像效能以便證實由兩個光罩之組合曝光所產生的影像可產生所要之在一可接受之誤差容限內的目標圖案。亦可經由一合適之模擬過程來實施此驗證過程。
圖7及圖8提供了上述過程之一說明。首先參看圖7,從為一H形特徵之原始圖案或目標圖案開始,將此佈局分割為兩個特徵,其中縫合區域係在垂直連接特徵之中心。如圖7中所示之佈局1及佈局2表示原始被分解圖案。緊接著,將一OPC處理應用於佈局1及佈局2,且接著執行一模擬過程以針對佈局1及佈局2中之每一者而產生預期印刷輪廓。其後,將所得印刷/模擬輪廓與原始被分解圖案相比較以判定在縫合區域中印刷/模擬輪廓與原始被分解圖案之間的誤差。應注意,誤差判定可基於(但非限於)原始被分解圖案與印刷/模擬輪廓之間的1維比較或2維比較。接著將每一縫合區域中之誤差轉化為一表示誤差之量或值的多邊形,且將對應於每一縫合區域之給定多邊形添加至對應之縫合區域中的原始被分解圖案以便產生變為目標設計之新的被分解圖案。當然,其他判定成像誤差及對原始被分解圖案之對應調整的方法亦係可能的。
接著,參看圖8,從新近產生之被分解圖案開始,使此等圖案經受OPC處理,較佳地,使此等圖案經受與對原始被分解特徵所實施之OPC過程相同的OPC過程。由此OPC過程所產生之圖案表示待用於雙圖案化過程中之圖案。接著利用此等圖案以在多次照明過程中使一晶圓成像以便產生原始H形目標特徵。如圖8中所示,所得成像圖案並不具有任何斷裂輪廓,且H形圖案得以精確再現。亦應注意,若在實際成像之前實施任選驗證步驟,則可使圖8中所示之經OPC處理之圖案經受一模擬過程以判定所得之成像晶圓是否將產生所要之結果。
圖9及圖10說明了將本發明之過程應用於一待成像之目標圖案的另一實例。參看圖10,目標圖案101被分解為兩個獨立圖案且經受一OPC處理(見圖案102及103)。模擬由圖案102及103之連續曝光所產生之影像以判定縫合區域(例如,見圖9中之區域104)中目標圖案與模擬圖案之間的所得誤差。判定此誤差之量且接著利用該誤差量來使縫合區域中之特徵延伸該誤差量以便產生新的被分解圖案105及106。接著使圖案105及106經受一OPC處理(見圖案107及108),且其後利用該等圖案105及106以在實際成像過程中使目標特徵成像。最終之圖案化結果亦說明於圖10中。最後,圖11說明了來自一先前技術成像過程之成像結果與來自本發明之過程之成像結果之間的比較。灰色輪廓111表示本發明之成像結果,且深色實線輪廓112表示先前技術過程之成像結果。如所示,深色實線輪廓具有遍布所得圖案的許多非吾人所樂見之斷裂。
圖12係一說明一電腦系統100之方塊圖,該電腦系統100可幫助實施上文所解釋之過程。電腦系統100包括一匯流排102或其他用於傳達資訊之通信機構及一與匯流排102耦接以用於處理資訊的處理器104。電腦系統100亦包括一耦接至匯流排102以用於儲存資訊及待由處理器104執行之指令的主記憶體106(諸如隨機存取記憶體(RAM)或其他動態儲存器件)。亦可在執行由處理器104執行之指令期間將主記憶體106用於儲存臨時變數或其他中間資訊。電腦系統100進一步包括一耦接至匯流排102以用於儲存靜態資訊及用於處理器104之指令的唯讀記憶體(ROM)108或其他靜態儲存器件。提供一儲存器件110(諸如一磁碟或光碟)且將其耦接至匯流排102以用於儲存資訊及指令。
可經由匯流排102而將電腦系統100耦接至一用於向電腦使用者顯示資訊的顯示器112(諸如陰極射線管(CRT)或平板或觸控式面板顯示器)。將一輸入器件114(包括文數字及其他鍵)耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件係用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制器116(諸如滑鼠、軌跡球或游標方向鍵)。此輸入器件通常在兩個軸(一第一軸(例如,x)及一第二軸(例如,y))上具有兩個自由度,從而允許該器件規定在一平面中的位置。亦可將一觸控式面板(顯示幕)顯示器用作一輸入器件。
根據本發明之一實施例,可由電腦系統100回應於處理器104執行主記憶體106中所含有之一或多個指令的一或多個序列來實施所揭示之過程。可將此等指令自另一電腦可讀取媒體(諸如儲存器件110)讀入主記憶體106中。主記憶體106中所含有之指令序列之執行導致處理器104實施本文中所描述之過程步驟。亦可利用多處理配置中之一或多個處理器來執行主記憶體106中所含有之指令序列。在替代性實施例中,可使用硬連線電路來替代軟體指令或可結合軟體指令來使用硬連線電路以實施本發明。因此,本發明之實施例並不限於硬體電路與軟體之任何特定組合。
如本文中所使用之術語"電腦可讀取媒體"係指代任何參與將指令提供至處理器104以用於執行的媒體。此媒體可呈許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟(諸如儲存器件110)。揮發性媒體包括動態記憶體(諸如主記憶體106)。傳輸媒體包括同軸電纜、銅線及光纖(包括包含匯流排102之導線)。傳輸媒體亦可呈聲波或光波(諸如彼等在射頻(RF)及紅外(IR)資料通信期間所產生之波)之形式。一般形式之電腦可讀取媒體包括(例如)軟碟、柔性碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、穿孔卡、紙帶、任何其他具有孔圖案之實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或匣、如下文所描述之載波或電腦可自其讀取之任何其他媒體。
各種形式之電腦可讀取媒體可參與將一或多個指令之一或多個序列載運至處理器104以用於執行。舉例而言,指令可最初被承載於一遠端電腦之一磁碟上。該遠端電腦可將該等指令載入其動態記憶體中且使用一數據機而在一電話線上發送該等指令。一位於電腦系統100本端之數據機可接收電話線上之資料且使用一紅外發射器而將該資料轉化為一紅外信號。一耦接至匯流排102之紅外偵測器可接收紅外信號中所載運之資料且將該資料置放於匯流排102上。匯流排102將資料載運至主記憶體106,處理器104自該主記憶體106擷取並執行該等指令。可視情況在由處理器104執行之前或之後將由主記憶體106所接收之指令儲存於儲存器件110上。
電腦系統100亦較佳包括一耦接至匯流排102之通信介面118。通信介面118提供一耦接至一網路鏈路120之雙路資料通信,該網路鏈路120連接至一區域網路122。舉例而言,通信介面118可為一整合服務數位網路(ISDN)卡或一數據機以將一資料通信連接提供至一對應類型之電話線。作為另一實例,通信介面118可為一區域網路(LAN)卡以將一資料通信連接提供至一相容LAN。亦可實施無線鏈路。在任何此實施中,通信介面118發送及接收載運表示各種類型資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而將資料通信提供至其他資料器件。舉例而言,網路鏈路120可經由區域網路122而將一連接提供至一主機電腦124或提供至由一網際網路服務提供者(ISP)126操作之資料器件。ISP 126又經由全球封包資料通信網路(現通常被稱作"網際網路"128)而提供資料通信服務。區域網路122及網際網路128兩者均使用載運數位資料流之電信號、電磁信號或光學信號。穿過各種網路之信號及在網路鏈路120上且穿過通信介面118之信號(其將數位資料載運至電腦系統100或自電腦系統100載運數位資料)係例示性形式之傳送資訊的載波。
電腦系統100可經由該(等)網路、網路鏈路120及通信介面118來發送訊息及接收資料(包括程式碼)。在網際網路實例中,一伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118來發射一所請求之用於一應用程式的代碼。根據本發明,一個此下載應用程式提供(例如)該實施例之所揭示之過程。所接收之代碼可在被接收時由處理器104執行及/或儲存於儲存器件110或其他非揮發性儲存器中以用於稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼(application code)。
圖13示意性地描繪了一微影投影裝置,其適合用於使藉由本發明之過程而設計之光罩成像。該裝置包含:-一用於供應一投影輻射束PB之輻射系統Ex、IL。在此特定狀況下,該輻射系統亦包含一輻射源LA;-一第一物件台(光罩台)MT,其具備一用於固持一光罩MA(例如,一主光罩)之光罩固持器且連接至用於相對於物品PL來精確定位光罩的第一定位構件;-一第二物件台(基板台)WT,其具備一用於固持一基板W(例如,一塗佈有抗蝕劑之矽晶圓)之基板固持器且連接至用於相對於物品PL來精確定位基板的第二定位構件;-一投影系統("透鏡")PL(例如,一折射、反射光學或反射折射混合光學系統),其用於使光罩MA之一被照射部分成像於基板W之一目標部分C(例如,包含一或多個晶粒)上。
如本文中所描繪,該裝置係一透射類型(亦即,具有一透射型光罩)。然而,通常,其亦可為一反射類型,例如(具有一反射型光罩)。或者,該裝置可利用另一種圖案化構件作為一光罩的替代;實例包括一可程式化鏡面陣列或LCD矩陣。
源LA(例如,一汞燈或準分子雷射)產生一輻射束。此輻射束直接或在橫穿調節構件(諸如一擴束器Ex)之後被饋入於一照明系統(照明器)IL中。照明器IL可包含用於設定該射束中之強度分布之外部及/或內部徑向範圍(通常分別被稱作σ外徑及σ內徑)的調整構件AM。另外,其將通常包含各種其他組件,諸如積光器IN及聚光器CO。以此方式,照射於光罩MA上之射束PB在其橫截面中具有一所要之均勻性及強度分布。
關於圖13應注意,源LA可在微影投影裝置之外殼內(例如,當源LA係汞燈時,通常為該狀況),但其亦可遠離微影投影裝置,其所產生之輻射束被引導至該裝置中(例如,借助於合適之導向鏡);當源LA係準分子雷射(例如,以KrF、ArF或F2 雷射作用為基礎)時,通常為此後一種情況。本發明涵蓋此兩種情況。
射束PB隨後與被固持於一光罩台MT上之光罩MA相交。在已橫穿光罩MA之後,射束PB穿過透鏡PL,該透鏡PL將射束PB聚焦於基板W之一目標部分C上。借助於第二定位構件(及干涉量測構件IF),可精確地移動基板台WT,(例如)以便將不同目標部分C定位於射束PB之路徑中。類似地,(例如)在自一光罩庫機械擷取光罩MA之後或在掃描期間,可使用第一定位構件以相對於射束PB之路徑來精確地定位光罩MA。通常,將借助於圖13中未明確描繪之一長衝程模組(粗定位)及一短衝程模組(精定位)來實現物件台MT、WT之移動。然而,在一晶圓步進器(與一步進掃描工具相反)之狀況下,可僅將光罩台MT連接至一短衝程致動器或可將其固定。
可在兩個不同模式中使用所描繪之工具:-在步進模式中,使光罩台MT保持為基本上靜止,且將整個光罩影像一次性(亦即,一單一"閃光")投影至一目標部分C上。接著在x及/或y方向上移位基板台WT使得可由射束PB來照射不同目標部分C;-在掃描模式中,基本上適用相同情況,不同之處在於給定之目標部分C不是以一單一"閃光"來曝光。相反,光罩台MT可在一給定方向(所謂之"掃描方向",例如y方向)上以速度v 而移動,使得導致投影束PB掃描遍及光罩影像;同時,基板台WT在相同或相反方向上以速度VMv 而同時移動,其中M 係透鏡PL之放大率(通常,M =1/4或1/5)。以此方式,可曝光一相對較大之目標部分C,而無需損害解析度。
儘管已詳細地描述並說明了本發明,但將清楚地理解,本發明係僅作為說明及實例且不應視為限制,本發明之範疇僅由附加之申請專利範圍之條款來限制。
10...目標圖案
12...短特徵
14...長特徵
16...第一光罩
18...第二光罩
20...光罩
22...光罩
24...所要之圖案
41...水平線
43...水平線
45...垂直線
51...特徵
52...輔助特徵
55...輪廓
57...所要之輪廓
59...最終輪廓
100...電腦系統
101...目標圖案
102...圖案、匯流排
103...圖案
104...區域、處理器
105...新的被分解圖案、處理器
106...新的被分解圖案、主記憶體
107...圖案
108...圖案、唯讀記憶體(ROM)
110...儲存器件
111...灰色輪廓
112...深色實線輪廓、顯示器
114...輸入器件
116...游標控制器
118...通信介面
120...網路鏈路
122...區域網路
124...主機
126...網際網路服務提供者
128...網際網路
130...伺服器
AM...調整構件
C...目標部分
CO...聚光器
Ex...輻射系統
IF...干涉量測構件
IL...輻射系統
IN...積光器
LA...輻射源
MA...光罩
MT...第一物件台
PB...投影束
PL...透鏡
W...基板
WT...第二物件台
圖1及圖2說明了一圖案分解過程之一實例。
圖3係圖1及圖2中所示之雙曝光過程之所得影像之平均影像強度的圖表。
圖4說明了關於可如何將一給定之圖案特徵分割為獨立特徵之實例。
圖5說明了將OPC技術應用於圖4之"分割1"中所示之被分解圖案實例。
圖6說明了根據本發明之例示性流程圖,其說明了將一目標圖案分解為多個圖案且將OPC處理應用於被分解圖案的過程。
圖7至圖11說明了在圖6之流程圖中所陳述的過程之實例。
圖12係一說明一電腦系統之例示性方塊圖,該電腦系統可根據本發明之一實施例來實施一獲得最佳化短程閃光模型參數之過程。
圖13示意性地描繪了一例示性微影投影裝置,其適合配合一借助於本發明之一實施例設計之光罩而使用。
(無元件符號說明)

Claims (16)

  1. 一種分解一目標電路圖案之方法,其係將含有待印刷於一晶圓上之特徵之該目標電路圖案分解為多個圖案,其包含以下步驟:將待印刷之該等特徵分離為一第一圖案及一第二圖案;對該第一圖案及該第二圖案實施一第一光學近似校正過程;判定該第一圖案及該第二圖案之一成像效能;判定該第一圖案與該第一圖案之該成像效能之間的一第一誤差及該第二圖案與該第二圖案之該成像效能之間的一第二誤差;利用該第一誤差來調整該第一圖案以產生一經修改之第一圖案;利用該第二誤差來調整該第二圖案以產生一經修改之第二圖案;及將一第二光學近似校正過程應用於該經修改之第一圖案及該經修改之第二圖案。
  2. 如請求項1之用於分解一目標電路圖案的方法,其中利用一以規則為基礎之分解過程而將該等特徵分離為該第一圖案及該第二圖案。
  3. 如請求項1之用於分解一目標電路圖案的方法,其中利用一以模型為基礎之分解過程而將該等特徵分離為該第一圖案及該第二圖案。
  4. 如請求項1之用於分解一目標電路圖案的方法,其中該第一光學近似校正過程及該第二光學近似校正過程係相同過程。
  5. 如請求項4之用於分解一目標電路圖案的方法,其中該第一光學近似校正過程及該第二光學近似校正利用一以規則為基礎之校正過程。
  6. 如請求項4之用於分解一目標電路圖案的方法,其中該第一光學近似校正過程及該第二光學近似校正利用一以模型為基礎之校正過程。
  7. 如請求項1之用於分解一目標電路圖案的方法,其中在與該第一圖案及該第二圖案相關聯之縫合區域中判定該第一誤差及該第二誤差。
  8. 一種電腦可讀取儲存媒體,其儲存一用於將一含有待印刷於一晶圓上之特徵之目標電路圖案分解為多個圖案的電腦程式,當執行時,該電腦程式導致一電腦實施以下步驟:將待印刷之該等特徵分離為一第一圖案及一第二圖案;對該第一圖案及該第二圖案實施一第一光學近似校正過程;判定該第一圖案及該第二圖案之一成像效能;判定該第一圖案與該第一圖案之該成像效能之間的一第一誤差及該第二圖案與該第二圖案之該成像效能之間的一第二誤差; 利用該第一誤差來調整該第一圖案以產生一經修改之第一圖案;利用該第二誤差來調整該第二圖案以產生一經修改之第二圖案;及將一第二光學近似校正過程應用於該經修改之第一圖案及該經修改之第二圖案。
  9. 如請求項8之電腦可讀取儲存媒體,其中利用一以規則為基礎之分解過程而將該等特徵分離為該第一圖案及該第二圖案。
  10. 如請求項8之電腦可讀取儲存媒體,其中利用一以模型為基礎之分解過程而將該等特徵分離為該第一圖案及該第二圖案。
  11. 如請求項8之電腦可讀取儲存媒體,其中該第一光學近似校正過程及該第二光學近似校正過程係相同過程。
  12. 如請求項11之電腦可讀取儲存媒體,其中該第一光學近似校正過程及該第二光學近似校正利用一以規則為基礎之校正過程。
  13. 如請求項11之電腦可讀取儲存媒體,其中該第一光學近似校正過程及該第二光學近似校正利用一以模型為基礎之校正過程。
  14. 如請求項8之電腦可讀取儲存媒體,其中在與該第一圖案及該第二圖案相關聯之縫合區域中判定該第一誤差及該第二誤差。
  15. 一種器件製造方法,其包含以下步驟: (a)提供一至少部分地由一層輻射敏感材料覆蓋之基板;(b)使用一成像系統來提供一投影輻射束;(c)使用光罩上之圖案以在該投影束之橫截面中賦予圖案;(d)將該經圖案化之輻射束投影至該輻射敏感材料層之一目標部分上,其中在步驟(c)中,提供一光罩上之一圖案包括以下步驟:將待印刷之特徵分離為一第一圖案及一第二圖案;對該第一圖案及該第二圖案實施一第一光學近似校正過程;判定該第一圖案及該第二圖案之一成像效能;判定該第一圖案與該第一圖案之該成像效能之間的一第一誤差,及該第二圖案與該第二圖案之該成像效能之間的一第二誤差;利用該第一誤差來調整該第一圖案以產生一經修改之第一圖案;利用該第二誤差來調整該第二圖案以產生一經修改之第二圖案;及將一第二光學近似校正過程應用於該經修改之第一圖案及該經修改之第二圖案。
  16. 一種用於產生用於一光微影過程中之光罩的方法,該方法包含以下步驟: 藉由將待印刷於一晶圓上之特徵分離為一第一圖案及一第二圖案而將一含有待印刷之該等特徵之目標電路圖案分解為多個圖案;對該第一圖案及該第二圖案實施一第一光學近似校正過程;判定該第一圖案及該第二圖案之一成像效能;判定該第一圖案與該第一圖案之該成像效能之間的一第一誤差,及該第二圖案與該第二圖案之該成像效能之間的一第二誤差;利用該第一誤差來調整該第一圖案以產生一經修改之第一圖案;利用該第二誤差來調整該第二圖案以產生一經修改之第二圖案;將一第二光學近似校正過程應用於該經修改之第一圖案及該經修改之第二圖案;及在該第二光學近似校正過程之後產生一對應於該經修改之第一圖案的第一光罩,及在該第二光學近似校正過程之後產生一對應於該經修改之第二圖案的第二光罩。
TW096134260A 2006-09-13 2007-09-13 實施用於圖案分解特徵的以模型為基礎的光學近似校正(opc)之方法與裝置 TWI382282B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US84407406P 2006-09-13 2006-09-13

Publications (2)

Publication Number Publication Date
TW200821768A TW200821768A (en) 2008-05-16
TWI382282B true TWI382282B (zh) 2013-01-11

Family

ID=38870358

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096134260A TWI382282B (zh) 2006-09-13 2007-09-13 實施用於圖案分解特徵的以模型為基礎的光學近似校正(opc)之方法與裝置

Country Status (7)

Country Link
US (3) US8111921B2 (zh)
EP (1) EP1901122B1 (zh)
JP (1) JP4922112B2 (zh)
KR (1) KR100882260B1 (zh)
CN (1) CN101276141B (zh)
SG (1) SG141386A1 (zh)
TW (1) TWI382282B (zh)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG141355A1 (en) * 2006-09-13 2008-04-28 Asml Masktools Bv A method for performing pattern decomposition based on feature pitch
JP4779003B2 (ja) * 2007-11-13 2011-09-21 エーエスエムエル ネザーランズ ビー.ブイ. フルチップ設計のパターン分解を行うための方法
US7861196B2 (en) * 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
DE102008019341B4 (de) * 2008-04-15 2020-09-24 Carl Zeiss Smt Gmbh Verfahren zur Analyse von Masken für die Photolithographie
JP5341399B2 (ja) * 2008-06-03 2013-11-13 ルネサスエレクトロニクス株式会社 パターン検証方法、パターン検証装置、プログラム、及び半導体装置の製造方法
US8069423B2 (en) * 2008-08-11 2011-11-29 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
US8209656B1 (en) * 2008-10-14 2012-06-26 Cadence Design Systems, Inc. Pattern decomposition method
KR100990880B1 (ko) 2008-11-12 2010-11-01 주식회사 동부하이텍 핫 스팟 라이브러리 생성 방법
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US20120167020A1 (en) * 2009-01-22 2012-06-28 Shady Abd El Wahed Pre-OPC Layout Editing For Improved Image Fidelity
JP4989687B2 (ja) * 2009-06-30 2012-08-01 株式会社日立ハイテクノロジーズ パターン形状評価方法およびパターン形状評価装置
US20110096309A1 (en) * 2009-10-28 2011-04-28 Imec Method and System for Wafer Inspection
US8296695B1 (en) 2010-06-11 2012-10-23 Altera Corporation Method and apparatus for performing fast incremental resynthesis
US8404403B2 (en) 2010-06-25 2013-03-26 Intel Corporation Mask design and OPC for device manufacture
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
NL2008311A (en) 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8533638B2 (en) * 2011-04-05 2013-09-10 Nanya Technology Corporation Post-optical proximity correction photoresist pattern collapse rule
US8516402B1 (en) 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
CN104025255B (zh) * 2011-12-30 2016-09-07 英特尔公司 用于工艺优化的相位调谐的技术
US8683394B2 (en) * 2012-01-31 2014-03-25 Mentor Graphics Corporation Pattern matching optical proximity correction
US8782571B2 (en) 2012-03-08 2014-07-15 Globalfoundries Inc. Multiple patterning process for forming trenches or holes using stitched assist features
US8745552B2 (en) * 2012-05-31 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. EDA tool and method, and integrated circuit formed by the method
US8677289B1 (en) * 2012-09-14 2014-03-18 Nanya Technology Corporation Method of generating assistant feature
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
TWI588595B (zh) * 2013-01-24 2017-06-21 聯華電子股份有限公司 光學鄰近修正方法
EP2871588A1 (en) * 2013-11-12 2015-05-13 Synopsys, Inc. Method for circuit design pattern recognition in a circuit description, system and computer program product
US9122835B2 (en) 2014-01-09 2015-09-01 United Microelectronics Corp. Method for generating layout of photomask
KR102253129B1 (ko) 2014-02-07 2021-05-18 삼성전자주식회사 더블 패터닝 공정을 위한 디자인 레이아웃 디콤포지션 방법
US9448467B2 (en) 2014-02-18 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Mask shift resistance-inductance method for multiple patterning mask design and a method for performing the same
KR102274837B1 (ko) 2014-09-04 2021-07-08 삼성전자주식회사 쿼드러플 패터닝 기술 공정을 위한 레이아웃 분리 방법 및 이를 사용한 반도체 장치 제조 방법
KR102219460B1 (ko) 2014-09-04 2021-02-24 삼성전자주식회사 반도체 장치의 레이아웃 분리 방법 및 이를 사용한 반도체 장치 제조 방법
CN105679656B (zh) * 2014-11-21 2019-09-17 联华电子股份有限公司 图案验证方法
TWI585512B (zh) * 2015-03-12 2017-06-01 力晶科技股份有限公司 提升圖案精密度的方法
CN106154756B (zh) 2015-04-07 2020-10-09 联华电子股份有限公司 照明系统以及使用其形成鳍状结构的方法
US9524361B2 (en) 2015-04-20 2016-12-20 United Microelectronics Corp. Method for decomposing a layout of an integrated circuit
CN106292174B (zh) * 2016-09-27 2019-12-20 上海华力微电子有限公司 一种提高光学临近修正准确性的方法
TWI745351B (zh) 2017-02-24 2021-11-11 聯華電子股份有限公司 半導體佈局圖案分割方法
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
CN109309091A (zh) 2017-07-28 2019-02-05 联华电子股份有限公司 图案化方法
US10762595B2 (en) 2017-11-08 2020-09-01 Steelcase, Inc. Designated region projection printing of spatial pattern for 3D object on flat sheet in determined orientation
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US10387602B2 (en) 2018-01-25 2019-08-20 United Microelectronics Corp. Method for generating masks for manufacturing of a semiconductor structure and method for manufacturing a semiconductor structure using the same
US10444622B2 (en) 2018-02-09 2019-10-15 United Microelectronics Corp. Method for generating masks for manufacturing of a semiconductor structure
EP3640735A1 (en) * 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
TWI679490B (zh) 2018-11-13 2019-12-11 華邦電子股份有限公司 產生雙圖案光罩的處理方法以及其記錄媒體
CN113227899A (zh) * 2018-12-28 2021-08-06 Asml荷兰有限公司 用于在片段边界处产生图案形成装置图案的方法
US11237485B2 (en) * 2020-01-21 2022-02-01 Applied Materials, Inc. System, software application, and method for lithography stitching
CN113296369B (zh) * 2021-05-14 2022-09-23 长鑫存储技术有限公司 用于光学临近修正的图形量测方法及装置
CN114953794A (zh) * 2022-05-20 2022-08-30 中国航空制造技术研究院 一种飞行器智能蒙皮线路制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1447711A2 (en) * 2003-02-17 2004-08-18 Matsushita Electric Industrial Co., Ltd. Photomask, pattern formation method using photomask and mask data creation method
US20050008952A1 (en) * 2002-08-06 2005-01-13 Dulman H. Daniel Methods of forming patterned reticles
US20060088772A1 (en) * 2001-10-02 2006-04-27 Guobiao Zhang Pattern-Distributed Mask

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US6430737B1 (en) * 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
CN100405221C (zh) * 2002-03-25 2008-07-23 Asml蒙片工具有限公司 用于无铬相位光刻技术中将半导体器件图案分解为相位和镀铬区域的方法和装置
JP3875158B2 (ja) * 2002-08-09 2007-01-31 株式会社東芝 露光装置判定システム、露光装置判定方法、露光装置判定プログラム及び半導体装置の製造方法
JP2005227666A (ja) * 2004-02-16 2005-08-25 Toshiba Corp マスクデータ補正方法と半導体装置の製造方法
JP4266189B2 (ja) * 2004-07-09 2009-05-20 株式会社東芝 半導体集積回路パターンの検証方法、フォトマスクの作成方法、半導体集積回路装置の製造方法、及び半導体集積回路パターンの検証方法を実現するためのプログラム
KR100634437B1 (ko) * 2004-10-05 2006-10-16 삼성전자주식회사 반도체 소자 제조용 마스크 및 그 제조방법
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
KR100721205B1 (ko) 2006-04-21 2007-05-23 주식회사 하이닉스반도체 이중 노광을 위한 패턴 분할 및 광 근접 효과 보정 방법
KR100735535B1 (ko) 2006-07-10 2007-07-04 삼성전자주식회사 마스크 제작 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060088772A1 (en) * 2001-10-02 2006-04-27 Guobiao Zhang Pattern-Distributed Mask
US20050008952A1 (en) * 2002-08-06 2005-01-13 Dulman H. Daniel Methods of forming patterned reticles
EP1447711A2 (en) * 2003-02-17 2004-08-18 Matsushita Electric Industrial Co., Ltd. Photomask, pattern formation method using photomask and mask data creation method

Also Published As

Publication number Publication date
CN101276141B (zh) 2011-04-13
EP1901122B1 (en) 2014-05-07
US20080069432A1 (en) 2008-03-20
JP4922112B2 (ja) 2012-04-25
US8391605B2 (en) 2013-03-05
KR100882260B1 (ko) 2009-02-06
JP2008096991A (ja) 2008-04-24
US8111921B2 (en) 2012-02-07
EP1901122A3 (en) 2011-12-21
EP1901122A2 (en) 2008-03-19
SG141386A1 (en) 2008-04-28
US8644589B2 (en) 2014-02-04
TW200821768A (en) 2008-05-16
CN101276141A (zh) 2008-10-01
US20130182940A1 (en) 2013-07-18
US20120122023A1 (en) 2012-05-17
KR20080024457A (ko) 2008-03-18

Similar Documents

Publication Publication Date Title
TWI382282B (zh) 實施用於圖案分解特徵的以模型為基礎的光學近似校正(opc)之方法與裝置
US8132130B2 (en) Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
JP4717153B2 (ja) 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法
JP4751866B2 (ja) ターゲットパターンを複数のパターンに分解するための方法、そのコンピュータプログラムを記憶するコンピュータ読取可能記憶媒体、デバイス製造方法、およびマスクを生成するための方法
TWI448824B (zh) 執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置
US7617476B2 (en) Method for performing pattern pitch-split decomposition utilizing anchoring features
JP4700664B2 (ja) アンカーリングフィーチャを利用したパターンピッチ分割分解を行うための方法
US7892703B2 (en) CPL mask and a method and program product for generating the same
US7998355B2 (en) CPL mask and a method and program product for generating the same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees