TWI448824B - 執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置 - Google Patents

執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置 Download PDF

Info

Publication number
TWI448824B
TWI448824B TW096143090A TW96143090A TWI448824B TW I448824 B TWI448824 B TW I448824B TW 096143090 A TW096143090 A TW 096143090A TW 96143090 A TW96143090 A TW 96143090A TW I448824 B TWI448824 B TW I448824B
Authority
TW
Taiwan
Prior art keywords
vertex
features
feature
target pattern
edge
Prior art date
Application number
TW096143090A
Other languages
English (en)
Other versions
TW200839458A (en
Inventor
Robert John Socha
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW200839458A publication Critical patent/TW200839458A/zh
Application granted granted Critical
Publication of TWI448824B publication Critical patent/TWI448824B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Image Analysis (AREA)
  • Image Processing (AREA)
  • Electron Beam Exposure (AREA)

Description

執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置
本申請案主張2006年11月14日申請之美國專利申請案第60/858,702號之優先權,該案之全文以引用的方式併入本文中。
本發明之技術領域大體而言係關於一種用於執行分解一目標圖案以允許利用雙面圖案化技術(DPT)來使該目標圖案成像之方法、程式產品與裝置。
微影裝置可用於(例如)製造積體電路(IC)。在此狀況下,光罩可含有對應於IC之個別層的電路圖案,且此圖案可成像至已塗佈有一輻射敏感材料(抗蝕劑)層之基板(矽晶圓)上的目標部分(例如,包含一或多個晶粒)上。一般而言,單一晶圓將含有經由投影系統一次一個連續輻照之鄰近目標部分之整個網路。在一類型之微影投影裝置中,藉由一次性將整個光罩圖案曝光至目標部分上來輻照每一目標部分;此裝置通常被稱作晶圓步進器。在替代裝置(通常被稱作步進及掃描裝置)中,藉由在給定參考方向("掃描"方向)上在投影光束下漸進地掃描光罩圖案同時平行或不平行於此方向而同步地掃描基板台來輻照每一目標部分。因為投影系統一般而言將具有放大因數M(一般<1),所以掃描基板台之速度V將為掃描光罩台之速度的因數M倍。可(例如)自以引用方式併入本文中之美國專利第6,046,792號收集到更多關於如本文中所描述之微影設備的資訊。
在使用微影投影裝置之製造處理中,光罩圖案成像至一至少部分地由輻射敏感材料(抗蝕劑)層覆蓋之基板上。在 此成像步驟前,基板可能經受各種程序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在曝光後,基板可能經受其他程序,諸如,後曝光烘烤(PEB)、顯影、硬烘烤,及成像之特徵之量測/檢測。將此程序陣列用作用以圖案化一設備(例如,IC)之個別層之基礎。此圖案化之層可接著經受各種處理,諸如,蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械研磨等,所有該等處理意欲完成個別層。若需要若干層,則將必須對每一新層重複整個程序或其變型。最後,設備陣列將呈現於基板(晶圓)上。此等設備接著藉由諸如切割或鋸切之技術而彼此分離,據此,可將個別設備安裝於載體上、連接至插腳等。
為了簡單起見,可在下文中將投影系統稱作"透鏡";然而,應將此術語廣泛解釋為涵蓋各種類型之投影系統,例如,包括折射光學系統、反射光學系統及反射折射系統。輻射系統亦可包括根據此等設計類型中之任一者而操作以用於引導、成形或控制輻射之投影光束的組件,且在下文中亦可將此等組件共同或單獨地稱作"透鏡"。另外,微影裝置可為具有兩個或兩個以上之基板台(及/或兩個或兩個以上之光罩台)的類型。在此等"多級"設備中,可並行使用額外台,或可在一或多個其他台正用於曝光的同時對一或多個台執行預備步驟。舉例而言,在以引用方式併入本文中之美國專利第5,969,441號中描述了雙級微影裝置。
以上所提及之光微影光罩包含對應於待整合至矽晶圓上之電路組件之幾何圖案。利用電腦輔助設計(CAD)程式來產生用以產生此等光罩的圖案,此處理通常被稱作電子設 計自動化(EDA)。大多數CAD程式遵循一組預定設計規則,以產生功能光罩。藉由處理及設計限制來設定此等規則。舉例而言,設計規則界定電路設備(諸如,閘、電容器等)或互連線之間的間隔容差,以確保電路設備或線不以不良方式彼此相互作用。設計規則限制通常被稱作"臨界尺寸"(CD)。可將電路之臨界尺寸界定為線或孔之最小寬度或兩線或兩孔之間的最小間隔。因此,CD判定設計之電路之總大小及密度。
當然,積體電路製造中之目標中之一者為(經由光罩)在晶圓上如實地再生原始電路設計。隨著目標圖案之臨界尺寸變得愈小,在晶圓上再生目標圖案變得愈加困難。然而,存在允許可在晶圓中成像或再生之最小CD減小之已知技術。一種此技術為雙重曝光技術,其中在兩個獨立曝光中使目標圖案中之特徵成像。
一種被稱作雙面圖案化技術之此技術允許將給定目標圖案之特徵分離成兩個不同光罩且接著獨立成像以形成所要之圖案。當目標特徵間隔得太近而不可能使個別特徵成像時,通常利用此技術。在此情況下,如所述之,將目標特徵分離成兩個光罩,使得在給定光罩上之所有特徵彼此被充分地隔開,使得可個別地使每一特徵成像。接著,藉由以依序方式(利用適當遮蔽)使兩個光罩成像,有可能獲得具有不可利用單一光罩來適當成像之密集間隔之特徵的目標圖案。
當利用雙面圖案化技術(DPT)時,可能需要將設計中之 多邊形分割成多個多邊形。隨後,將此多個多邊形指派至用於成像之兩個(或兩個以上)之光罩中的一者(此指派處理亦被稱作著色)。當前分裂/分割演算法可能極為複雜且費時。舉例而言,已利用基於規則之分割演算法,然而,處置現今之複雜設計所必需之規則的數目可能變得過大。此外,在尚未界定任何規則之設計內通常存在情況/衝突,且此等情形可能導致演算法無法找到適當結果。
本發明之目標為克服雙重曝光技術之已知分解處理中的此等缺陷。
鑒於前文,本發明之目標為藉由以下方式來克服已知先前技術的缺陷:提供一種分裂處理,該分裂處理將目標圖案之特徵分解或分裂成可(例如)藉由在多個照明處理中利用多個光罩而獨立成像之不同區段。
更特定而言,本發明係關於一種將含有待印刷於晶圓上之特徵之目標圖案分解成多個圖案之方法。該方法包括以下步驟:(a)界定指示待成像之特徵之間的最小必需間隔的影響區域;(b)選擇與目標圖案之特徵相關聯之頂點;(c)相對於頂點來判定另一特徵之邊緣是否在影響區域內;及(d)當另一特徵之邊緣在影響區域內時,將另一特徵分割成兩個多邊形。
本發明提供優於先前技術之重要優點。最重要的是,本發明提供一種將目標圖案之特徵分解成不同多邊形的簡單且有效之分裂處理,其消除與先前技術之基於規則之分裂 處理相關聯的問題。
熟習此項技術者自本發明之例示性實施例之以下詳細描述將變得瞭解本發明之額外優點。
儘管在此本文中可特定參考本發明在製造IC中之使用,但應明確理解,本發明具有許多其他可能應用。舉例而言,本發明可用於製造整合式光學系統、磁域記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。熟習此項技術者將瞭解,在此等替代應用之情形下,應將在此本文中之術語"主光罩"、"晶圓"或"晶粒"之任何使用視為分別由較一般之術語"光罩"、"基板"及"目標部分"替代。
藉由參考以下實施方式及附圖可較佳理解本發明自身以及另外目標及優點。
本發明之圖案分裂處理提供一種用於將目標圖案之多邊形分割成多個多邊形的方法,該多個多邊形隨後在DPT處理中被著色。如以下進一步詳細地解釋,該方法藉由在圖案之負區中分裂由凸頂點產生之邊緣;及藉由在目標圖案之正區中分裂由凹頂點產生之邊緣來將多邊形分割成多個多邊形。該分裂處理需要自每一頂點延伸射線(或向量),直至該射線與另一頂點或邊緣相交為止。若相鄰多邊形在具有射線發射自之頂點之影響區域(ROI)內,則此相交可導致相鄰多邊形之分割。以下闡明判定是否分割給定多邊形之處理。應注意,目標圖案較佳地以諸如"gds"(其為標準資料格式)之資料格式來描述。然而,亦可利用任何其 他適當資料格式。
圖1為說明本發明之分裂處理的例示性流程圖,該分裂處理用以將目標圖案分解成多個區段。參看圖1,處理中之第一步驟(步驟10)為自目標圖案中之特徵之給定頂點延伸一邊緣(亦即,射線或向量),直至射線與另一特徵之邊緣或頂點相交為止,藉此,形成一區段。換言之,步驟10需要計算區段自開始頂點至相鄰頂點或邊緣之相交的長度。
接著,在下一步驟(步驟12)中,將在步驟10中所形成之區段對半劃分,且將一點置放於區段之中間。自此點開始,產生/引伸垂直於此區段的具有等於界定之影響區域(ROI)之長度的另一射線或向量。ROI基本上界定特徵之間所必需之最小間隔,以允許特徵在同一光罩中成像。ROI較佳地經光學設定且例如被設定成k1 λ/NA,其中k1 為在一曝光上印刷特徵之最小k1 (通常,大於k1 >0.31),λ為與照明源相關聯之波長,且NA為數值孔徑。藉由光學設定ROI,確保無小於ROI(或在ROI內)之相鄰邊緣將在同一曝光上。
返回至圖1,處理中之下一步驟(步驟14)為判定自區段之中間延伸之射線是否與另一邊緣相交。若自區段之中間延伸之射線未與另一邊緣相交,則忽略該射線(因為其不可導致相鄰特徵/多邊形之分割),且處理進行至步驟24,以判定在待處理之目標圖案中是否存在任何額外頂點。若不存在待處理之額外頂點,則分裂處理完成。
然而,若射線與另一邊緣相交(其意謂相對於初始特 徵,另一邊緣在ROI內),則處理進行至步驟16,且在射線與邊緣相交之位置處將新頂點置放於邊緣上。此邊緣對應於目標圖案中之鄰近特徵/多邊形。
接著,在步驟18中,自新置放之頂點延伸射線(在同一方向上繼續),直至其與另一邊緣相交為止,該另一邊緣將為在新置放之頂點所位於之同一多邊形中的邊緣。一額外新頂點定位於此相交處。接著,在步驟20中,將兩個新定位之頂點連接在一起,藉此,將多邊形/特徵分離成兩個多邊形,其中該兩個多邊形共用由射線形成之邊緣。應注意,此兩個新形成之多邊形可在後續著色處理中被指派不同顏色(且因此指派至不同光罩)。
如所述之,除了形成兩個多邊形外,形成兩個新頂點。在下一步驟(步驟22)中,自新產生之最後頂點,以等於ROI之長度在同一方向上繼續投射射線,且處理返回至步驟14且重複以上所述之處理步驟。應注意,一旦評估自一頂點之兩個延伸,則該頂點自進一步考慮移除。
圖2至圖10說明將前述分裂處理應用於例示性目標圖案之第一實例。圖2說明具有三個多邊形/特徵201、202及203之例示性目標圖案。選擇目標圖案之第一頂點204。如上所述,在前述處理中處理/分析由目標圖案之特徵形成的所有頂點。此可藉由以自右至左之方式處理目標圖案中所含有之特徵(及目標圖案中所含有之頂點)來完成。然而,其他方法亦為可接受的。
圖3說明步驟10及12之處理,其中自頂點204之邊緣延伸 區段301,直至區段與另一邊緣或頂點(其在此實例中為與特徵202相關聯之頂點)相交為止。接著,產生射線302,其以等於ROI之距離在兩個方向上自區段301之中點延伸。如圖3中所展示,射線302不接觸由ROI界定之距離內的任何邊緣,因此,無需相對於頂點204之進一步動作,且處理進行分析下一頂點(其為頂點205)。
關於處理頂點205,以相同方式,自頂點205之邊緣延伸區段401,直至區段與另一邊緣或頂點(其在此實例中為與特徵202相關聯之頂點)相交為止。接著,產生射線402,其以等於ROI之距離在兩個方向上自區段之中點延伸。如圖4中所展示,射線402接觸與特徵203相關聯之邊緣,該邊緣在由ROI界定之距離內。根據該處理,如圖5中所展示,將頂點405置放於相交之位置處,且接著在同一方向上延伸射線,直至其與另一邊緣相交為止,該另一邊緣亦形成多邊形203之部分。如圖6中所展示,將另一頂點505置放於此相交之位置處。兩個新形成之頂點405及505接著由邊緣801如圖7中所展示而連接,以形成兩個不同多邊形203a及203b。接著,如圖8中所展示,以等於ROI的距離自頂點505進一步延伸射線,且重複前述處理。在給定實例中,延伸之射線並不與任何額外多邊形相交,因此處理完成,且結果為將目標圖案之三個原始多邊形/特徵分裂成四個多邊形(如圖9中所展示),可在後續著色處理中獨立地對該等多邊形中之每一者著色。圖10說明該四個多邊形之一可能著色方案。
圖11至圖17說明根據前述方法分裂目標圖案之第二實例。圖11之目標圖案包括四個特徵/多邊形110、112、114及116。如圖11中所展示,應用分裂處理,射線113在預定ROI內與多邊形114相交,其導致多邊形114被分割成兩個多邊形114a及114b(如圖12及13中所展示)。在多邊形116之方向上,以ROI之距離自添加至多邊形114之第二頂點進一步延伸射線。如圖13中所展示,射線亦與多邊形116相交。應用該分裂處理,此導致多邊形116被分割成兩個多邊形(如圖14中所展示)。因為不存在額外特徵,所以超過多邊形116之射線之進一步延伸並不導致額外特徵之相交(如圖15中所展示)。所得之分裂展示於圖16中,其說明六個不同多邊形110、112、114a、114b、116a及116b。圖17說明該六個多邊形之一可能著色方案。
圖18至圖24說明圖11至圖17中所示之實例之變化。具體言之,目標圖案之前三個特徵110、112及114與圖11中所示之特徵相同,且因此,以相同方式來分裂此等多邊形。然而,如此實例中所展示,相對於特徵114,特徵120在ROI外。因而,分裂處理並不分割特徵120,且可將特徵120指派至任一著色方案。圖18至圖24說明應用於此目標圖案之分裂處理。如圖22中所展示,特徵120相對於特徵114在ROI外,且因此,並不分裂特徵120。圖23說明最後分裂,且圖24說明分裂之圖案之一可能著色方案。
應注意,前述分裂演算法適用於目標圖案之所有頂點。另外,同等地處理所有頂點(亦即,凸頂點及凹頂點)。不 存在觸發自頂點產生射線之選擇標準。若由頂點產生之射線在多邊形內相交,則產生多個多邊形。舉例而言,可將接近頂點之較大著陸點型多邊形分成多個多邊形。若接近較大多邊形存在許多頂點,則其可實際形成棋盤型圖案。射線在區段形成步驟期間亦自給定頂點於水平及垂直方向上延伸。應進一步注意,對於多邊形產生可忽略或包括產生頂點之邊緣中的較小凹凸部。若凹凸部形成具有小於ROI之量級(k1 λ)/(10NA)之長度的邊緣,則認為由凹凸部產生之頂點較小。
如上所述,在由分裂處理形成多邊形後,應用著色演算法。著色演算法將設計分割成多個曝光(亦即,獨立光罩)。可利用基於規則之方法或基於模型之方法來執行此著色演算法。然而,該著色演算法應將每一曝光之多邊形聚集在一起(亦即,將每一曝光之多邊形熔合在一起)。實施聚集,使得一曝光之多邊形之邊緣鄰近其他多邊形中儘可能多之邊緣。藉由最小化在多個曝光上之邊緣之相交來解決著色衝突。在數學上,其應滿足以下標準: min{Ai ∩ Bi },其中A為在曝光一中之邊緣,且B為在曝光二中之邊緣。
當僅存在兩個曝光時,以上之此標準經受兩個約束。
1.對於所有i≠j,(Aj -Ai≠j )>ROI,其中A為曝光一之邊緣,及 2.對於所有i≠j,(Bj -Bi≠j )>ROI,其中B為曝光二的邊緣。
可將以上標準延伸至包括兩個以上之曝光。對於兩個以上之曝光,添加與最小化邊緣相交類似至之另一標準,使得對於所有曝光,均最小化曝光之間的邊緣之數目。此外,對於超過二之每一曝光,添加類似於標準1及2之另一約束。
前述處理之變化亦為可能的。舉例而言,有可能藉由模擬處理或基於待用於使目標圖案成像之給定處理之實驗資料來判定ROI。此模擬或實驗資料亦將指示對於給定處理使特徵適當成像所必需之特徵之間的最小可允許間隔。
如上所述,本發明提供優於先前技術之重要優點。最重要的是,本發明提供一種將目標圖案之特徵分解成不同多邊形的簡單且有效之分裂處理,其消除與先前技術之基於規則之分裂處理相關聯的問題。
圖25為說明可實施以上所解釋之分裂處理之電腦系統100的方塊圖。電腦系統100包括一匯流排102或用於傳達資訊之其他通信機構,及一與匯流排102耦接以用於處理資訊之處理器104。電腦系統100亦包括一主記憶體106,諸如,隨機存取記憶體(RAM)或其他動態儲存設備,該主記憶體106耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令。主記憶體106亦可用於在待由處理器104執行之指令之執行期間儲存暫時變數或其他中間資訊。電腦系統100進一步包括一唯讀記憶體(ROM)108或耦接至匯流排102之其他靜態儲存設備,其用於儲存處理器104之靜態資訊及指令。提供儲存設備110(諸如,磁碟或光碟)提供 且將其耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於向電腦使用者顯示資訊之顯示器112,諸如,陰極射線管(CRT)或平板或觸控板顯示器。輸入設備114(其包括文數字及其他鍵)耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入設備為用於將方向資訊及命令選擇傳達至處理器104並用於控制顯示器112上之游標移動之游標控制器116(諸如,滑鼠、軌跡球或游標方向鍵)。此輸入設備通常具有兩個軸(第一軸(例如,x)及第二軸(例如,y))上的兩個自由度,其允許設備在平面中指定位置。觸控板(螢幕)顯示器亦可用作輸入設備。
根據本發明之一實施例,可由電腦系統100回應於處理器104執行主記憶體106中所含有之一或多個指令之一或多個序列來執行著色處理。可自另一電腦可讀媒體(諸如,儲存設備110)將此等指令讀取至主記憶體106中。主記憶體106中所含有之指令之序列的執行導致處理器104執行本文中所描述之處理步驟。多處理配置中之一或多個處理器亦可用以執行主記憶體106中所含有之指令的序列。在替代實施例中,硬接線電路可代替或組合軟體指令來使用以實施本發明。因此,本發明之實施例並不限於硬體電路與軟體之任何特定組合。
如本文中所使用之術語"電腦可讀媒體"指代參與將指令提供至處理器104以供執行的任何媒體。此媒體可採取許多形式,其包括(但不限於)非揮發性媒體、揮發性媒體及 傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如,儲存設備110。揮發性媒體包括動態記憶體,諸如,主記憶體106。傳輸媒體包括同軸電纜、銅線及光纖,其包括包含匯流排102之線。傳輸媒體亦可採取聲波或光波之形式,諸如,在射頻(RF)及紅外(IR)資料通信期間所產生之聲波或光波。普通形式之電腦可讀媒體包括(例如)軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、快閃EPROM、任何其他記憶體晶片或匣式磁碟、如下文中所描述之載波,或電腦可自其讀取之任何其他媒體。
在將一或多個指令之一或多個序列載運至處理器104以供執行時可涉及各種形式之電腦可讀媒體。舉例而言,該等指令可最初承載於遠端電腦之磁碟上。遠端電腦可將該等指令載入至其動態記憶體中,且使用數據機而在電話線上發送該等指令。位於電腦系統100本端之數據機可接收電話線上之資料,且使用紅外傳輸器來將資料轉換成紅外信號。耦接至匯流排102之紅外偵測器可接收紅外信號中所載運之資料,且將資料置放於匯流排102上。匯流排102將資料載運至主記憶體106,處理器104自該主記憶體106擷取並執行指令。由主記憶體106接收之指令可在由處理器104執行前或後視情況地儲存於儲存設備110上。
電腦系統100亦較佳地包括一耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120(其連接至區域網 路122)的雙向資料通信耦接。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機,以提供對一對應類型之電話線之資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡,以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面118發送並接收載運表示各種類型之資訊之數位資料流的電信號、電磁信號或光信號。
網路鏈路120通常提供經由一或多個網路對其他資料設備之資料通信。舉例而言,網路鏈路120可提供經由區域網路122對主機電腦124或對由網際網路服務提供者(ISP)126操作之資料設備的連接。ISP 126又提供經由全球封包資料通信網路(現通常被稱作"網際網路" 128)之資料通信服務。區域網路122及網際網路128均使用載運數位資料流之電信號、電磁信號或光信號。經由各種網路之信號與在網路鏈路120上及經由通信介面118之信號(其將數位資料載運至電腦系統100且自電腦系統100載運數位資料)為傳送資訊之載波的例示性形式。
電腦系統100可經由該(等)網路、網路鏈路120及通信介面118而發送訊息及接收資料(其包括程式碼)。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸應用程式之請求之程式碼。舉例而言,根據本發明,一種此下載之應用程式提供該實施例之照明最佳化。接收之程式碼可當其被接收時由處理器104執行,及/或儲存於儲存設備110或其他非揮發性儲存 器中以供稍後執行。以此方式,電腦系統100可以載波之形式獲得應用程式碼。
圖26示意性描繪適合於與借助於本發明而設計之光罩一起使用的微影投影裝置。該裝置包含:輻射系統Ex、IL,其用於供應輻射之投影光束PB。在此特定狀況下,輻射系統亦包含一輻射源LA;第一載物台(光罩台)MT,其具備用於固持光罩MA(例如,主光罩)之光罩固持器,且連接至用於相對於物品PL而精確定位光罩的第一定位構件;第二載物台(基板台)WT,其具備用於固持基板W(例如,抗蝕劑塗佈之矽晶圓)之基板固持器,且連接至用於相對於物品PL而精確定位基板的第二定位構件;投影系統("透鏡")PL(例如,折射、反射或反射折射光學系統),其用於將光罩MA之輻照之部分成像至基板W之目標部分C(例如,包含一或多個晶粒)上。
如本文所描繪,裝置為透射型裝置(亦即,具有透射光罩)。然而,一般而言,其亦可為(例如)反射型裝置(具有反射光罩)。或者,該裝置可將另一種類之圖案化構件用作對使用光罩之替代方式;實例包括可程式化鏡面陣列或LCD矩陣。
源LA(例如,水銀燈或準分子雷射器)產生輻射光束。舉例而言,此光束直接地或在已橫穿諸如光束放大器Ex之調節構件後饋入至照明系統(例如,照明器)IL中。照明器IL可包含調整構件AM,其用於設定光束中之強度分布的外 部及/或內部徑向範圍(通常分別被稱作σ-外部及σ-內部)。此外,其通常將包含各種其他組件,諸如,一積累器IN及一聚光器CO。以此方式,撞擊於光罩MA上之光束PB在其橫截面中具有所要之均一性及強度分布。
關於圖26,應注意,源LA可在微影投影裝置之外殼內(例如,如通常為當源LA為水銀燈時之狀況),但源LA亦可遠離於微影投影裝置,(例如,借助於適當引導鏡)而將其產生之輻射光束引導至裝置中;源LA遠離於微影投影裝置之情況通常為當源LA為準分子雷射器(例如,基於KrF、ArF或F2 雷射)時之狀況。本發明涵蓋此等情況二者。
光束PB隨後截斷光罩MA,該光罩MA固持於光罩台MT上。在橫穿光罩MA後,光束PB穿過透鏡PL,該透鏡PL將光束PB聚焦至基板W之目標部分C上。借助於第二定位構件(及干涉量測構件IF),基板台WT可精確地移動,例如,以便在光束PB之路徑中定位不同目標部分C。類似地,例如,在自光罩庫機械擷取光罩MA後或在掃描期間,第一定位構件可用以相對於光束PB之路徑來精確定位光罩MA。一般而言,載物台MT、WT之移動將借助於未在圖26中明確描繪的長衝程模組(粗略定位)及短衝程模組(精細定位)來實現。然而,在晶圓步進器(如與步進及掃描工具相反)之狀況下,光罩台MT可僅連接至短衝程致動器或可加以固定。
可在兩種不同模式中使用所描繪之工具: 在步進模式中,光罩台MT保持基本上固定,且整個光罩影像一次性投影(亦即,單一"閃光")至目標部分C上。接著,基板台WT在x及/或y方向上移位,使得可由光束PB來輻照不同目標部分C;在掃描模式中,除了在單一"閃光"中不曝光給定目標部分C外,基本上相同情況適用。實情為,光罩台MT可以速度v在給定方向(所謂之"掃描方向",例如,y方向)上移動,使得導致投影光束PB在光罩影像上掃描;同時,基板台WT以速度V=Mv在相同或相反方向上同時移動,其中M為透鏡PL之放大率(通常,M=1/4或1/5)。以此方式,可在不必損害解析度之情況下曝光相對較大之目標部分C。
儘管詳細描述並說明了本發明,但應清楚理解,本發明僅作為說明及實例且不將作為限制來實施,本發明之範疇僅受隨附申請專利範圍之諸項限制。
100‧‧‧電腦系統
102‧‧‧匯流排
104‧‧‧處理器
106‧‧‧主記憶體
108‧‧‧唯讀記憶體
110‧‧‧儲存設備/特徵/多邊形
112‧‧‧顯示器/特徵/多邊形
113‧‧‧射線
114‧‧‧輸入設備/特徵/多邊形
114a‧‧‧多邊形
114b‧‧‧多邊形
116‧‧‧游標控制器/特徵/多邊形
116a‧‧‧多邊形
116b‧‧‧多邊形
118‧‧‧通信介面
120‧‧‧網路鏈路
122‧‧‧區域網路
124‧‧‧主機電腦
126‧‧‧網際網路服務提供者
128‧‧‧網際網路
130‧‧‧伺服器
201‧‧‧多邊形/特徵
202‧‧‧多邊形/特徵
203‧‧‧多邊形/特徵
203a‧‧‧多邊形
203b‧‧‧多邊形
204‧‧‧頂點
205‧‧‧頂點
301‧‧‧區段
302‧‧‧射線
401‧‧‧區段
402‧‧‧射線
405‧‧‧頂點
505‧‧‧頂點
801‧‧‧邊緣
AM‧‧‧調整構件
C‧‧‧目標部分
CO‧‧‧聚光器
Ex‧‧‧輻射系統/光束放大器
IF‧‧‧干涉量測構件
IL‧‧‧輻射系統/照明系統/照明器
IN‧‧‧積累器
LA‧‧‧輻射源
MA‧‧‧光罩
MT‧‧‧第一載物台/光罩台
PB‧‧‧投影光束
PL‧‧‧投影系統/透鏡
W‧‧‧基板
X‧‧‧方向
Y‧‧‧方向
圖1為說明本發明之分裂處理之例示性流程圖,該分裂處理用以將目標圖案分解成多個區段,該多個區段隨後經著色且用於多個照明處理。
圖2至圖10說明將本發明之分裂處理應用於例示性目標圖案的第一實例。
圖11至圖17說明將本發明之分裂處理應用於例示性目標圖案的第二實例。
圖18至圖24說明將本發明之分裂處理應用於例示性目標圖案的第三實例。
圖25為說明可實施本發明之分裂處理之電腦系統的方塊圖。
圖26示意性描繪適合於與借助於揭示之概念而設計之光罩一起使用的例示性微影投影裝置。
(無元件符號說明)

Claims (16)

  1. 一種以電腦實現用於將一含有待印刷(print)於一晶圓上之特徵之目標圖案分解成待獨立成像之多個圖案之方法,其包含以下步驟:(a)界定一指示待成像之特徵之間的最小必需間隔的影響區域;(b)選擇一與該目標圖案之一特徵相關聯之頂點(vertex);(c)相對於該頂點,藉由該電腦來判定另一特徵之一邊緣是否在該影響區域內;及(d)倘若另一特徵之該邊緣在該影響區域內,則將該另一特徵分割成兩個多邊形,用於將其獨立地納入該多個圖案之不同者中。
  2. 如請求項1之方法,其進一步包含以下步驟:重複步驟(b)至(d)以使得該目標圖案之每一特徵之每一頂點得以分析。
  3. 如請求項1之方法,其中該影響區域係由公式k1 λ/NA界定,其中k1 為在一單一曝光中印刷特徵之最小k1 ,λ為與照明源相關聯之波長,且NA為數值孔徑。
  4. 如請求項1之方法,其中該影響區域係由一模擬處理或實際實驗成像資料中之至少一者來判定。
  5. 如請求項1之方法,其中步驟(c)包含以下步驟:自該頂點延伸一區段,直至該區段與該等特徵中之一者之一邊緣或頂點中的至少一者相交為止;及 以一等於該影響區域之長度自該區段之一中間部分延伸一射線。
  6. 一種非暫時性電腦可讀媒體,其承載一用於將一含有待印刷於一晶圓上之特徵之目標圖案分解成待獨立成像之多個圖案的電腦程式,該電腦程式當執行時使一電腦執行以下步驟:(a)界定一指示待成像之特徵之間的最小必需間隔的影響區域;(b)選擇一與該目標圖案之一特徵相關聯之頂點;(c)相對於該頂點來判定另一特徵之一邊緣是否在該影響區域內;及(d)倘若另一特徵之該邊緣在該影響區域內,則將該另一特徵分割成兩個多邊形,用於將其獨立地納入該多個圖案之不同者中。
  7. 如請求項6之電腦可讀媒體,其進一步包含以下步驟:重複步驟(b)至(d)以使得該目標圖案之每一特徵之每一頂點得以分析。
  8. 如請求項6之電腦可讀媒體,其中該影響區域係由公式k1 λ/NA界定,其中k1 為在一單一曝光中印刷特徵之最小k1 ,λ為與照明源相關聯之波長,且NA為數值孔徑。
  9. 如請求項6之電腦可讀媒體,其中該影響區域係由一模擬處理或實際實驗成像資料中之至少一者來判定。
  10. 如請求項6之電腦可讀媒體,其中步驟(c)包含以下步驟: 自該頂點延伸一區段,直至該區段與該等特徵中之一者之一邊緣或頂點中的至少一者相交為止;及以一等於該影響區域之長度自該區段之一中間部分延伸一射線。
  11. 一種設備製造方法,其包含以下步驟:(a)提供一至少部分地由一輻射敏感材料層覆蓋之基板;(b)使用一成像系統來提供輻射之一投影光束;(c)使用一光罩上之一目標圖案,以在該投影光束之橫截面中賦予其一圖案;(d)將輻射之該圖案化光束投影至該輻射敏感材料層之一目標部分上,其中,在步驟(c)中,該光罩係藉由一包含以下步驟之方法而形成:(e)界定一指示待成像之該目標圖案之特徵之間的一最小必需間隔的影響區域;(f)選擇一與該目標圖案之一特徵相關聯之頂點;(g)相對於該頂點來判定另一特徵之一邊緣是否在該影響區域內;及(h)倘若另一特徵之該邊緣在該影響區域內,則將該另一特徵分割成兩個多邊形,用於將其獨立地納入待獨立成像之多個圖案之不同者中。
  12. 一種以電腦實現用於產生一光微影處理中待獨立成像之光罩之方法,該方法包含以下步驟: (a)界定一指示待成像之一目標圖案之特徵之間的一最小必需間隔的影響區域;(b)選擇一與該目標圖案之一特徵相關聯之頂點;(c)相對於該頂點,藉由該電腦來判定另一特徵之一邊緣是否在該影響區域內;及(d)倘若另一特徵之該邊緣在該影響區域內,則將該特徵分割成兩個多邊形,用於將其獨立地納入該多個圖案之不同者中。
  13. 如請求項12之方法,其進一步包含以下步驟:重複步驟(b)至(d)以使得該目標圖案之每一特徵之每一頂點得以分析。
  14. 如請求項12之方法,其中該影響區域係由公式k1 λ/NA界定,其中k1 為在一單一曝光中印刷特徵之最小k1 ,λ為與照明源相關聯之波長,且NA為數值孔徑。
  15. 如請求項12之方法,其中該影響區域係由一模擬處理或實際實驗成像資料中之至少一者來判定。
  16. 如請求項12之方法,其中步驟(c)包含以下步驟:自該頂點延伸一區段,直至該區段與該等特徵中之一者之一邊緣或頂點中的至少一者相交為止;及以一等於該影響區域之長度自該區段之一中間部分延伸一射線。
TW096143090A 2006-11-14 2007-11-14 執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置 TWI448824B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US85870206P 2006-11-14 2006-11-14

Publications (2)

Publication Number Publication Date
TW200839458A TW200839458A (en) 2008-10-01
TWI448824B true TWI448824B (zh) 2014-08-11

Family

ID=39078594

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096143090A TWI448824B (zh) 2006-11-14 2007-11-14 執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置

Country Status (7)

Country Link
US (2) US7865865B2 (zh)
EP (1) EP1925978A3 (zh)
JP (1) JP5032948B2 (zh)
KR (1) KR100920232B1 (zh)
CN (1) CN101241300B (zh)
SG (1) SG143183A1 (zh)
TW (1) TWI448824B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5032948B2 (ja) * 2006-11-14 2012-09-26 エーエスエムエル マスクツールズ ビー.ブイ. Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US7934177B2 (en) * 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
JP4779003B2 (ja) * 2007-11-13 2011-09-21 エーエスエムエル ネザーランズ ビー.ブイ. フルチップ設計のパターン分解を行うための方法
JP6134652B2 (ja) * 2011-03-02 2017-05-24 日本テキサス・インスツルメンツ株式会社 ハイブリッドピッチ分割パターン分割リソグラフィプロセス
US8429574B2 (en) 2011-04-14 2013-04-23 Cadence Design Systems, Inc. Dual-pattern coloring technique for mask design
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8516402B1 (en) * 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
JP6598421B2 (ja) * 2013-02-22 2019-10-30 キヤノン株式会社 マスクパターンの決定方法、プログラム、情報処理装置
CN103869598B (zh) * 2014-03-24 2017-05-10 上海华力微电子有限公司 离子注入层的光学临近效应修正方法
KR102491661B1 (ko) 2016-01-12 2023-01-26 삼성전자주식회사 반도체 장치의 제조 방법
TW202208984A (zh) * 2020-06-29 2022-03-01 美商新思科技股份有限公司 使用設計引導偏移的遮罩合成

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US20030140328A1 (en) * 2002-01-24 2003-07-24 Cobb Nicolas B. Selective promotion for resolution enhancement techniques
US20040003357A1 (en) * 2002-06-28 2004-01-01 Michal Palusinski Vertex based layout pattern (VEP): a method and apparatus for describing repetitive patterns in IC mask layout
US20050091014A1 (en) * 2003-10-27 2005-04-28 International Business Machines Corporation Performance in model-based OPC engine utilizing efficient polygon pinning method

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07104855B2 (ja) * 1985-03-28 1995-11-13 インターナショナル・ビジネス・マシーンズ・コーポレーション 数値シミュレーション装置
DE3902693C2 (de) 1988-01-30 1995-11-30 Toshiba Kawasaki Kk Mehrebenenverdrahtung für eine integrierte Halbleiterschaltungsanordnung und Verfahren zur Herstellung von Mehrebenenverdrahtungen für integrierte Halbleiterschaltungsanordnungen
JPH07199448A (ja) * 1993-12-28 1995-08-04 Toshiba Corp 露光用マスク
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
US6311319B1 (en) * 1998-05-22 2001-10-30 Taiwan Semiconductor Manufacturing Company Solving line-end shortening and corner rounding problems by using a simple checking rule
JP3050208B2 (ja) * 1998-08-24 2000-06-12 株式会社ニコン 露光方泡及び該方法を用いる素子製造方法
JP4580134B2 (ja) * 2000-01-20 2010-11-10 エルエスアイ コーポレーション 幾何学的エアリアルイメージシミュレーション
JP2001230182A (ja) 2000-02-16 2001-08-24 Nikon Corp 半導体装置の製造方法
US6792590B1 (en) 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US7735052B2 (en) * 2001-04-24 2010-06-08 Asml Masktools Netherlands B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP2004133427A (ja) * 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
US7096452B2 (en) * 2003-06-24 2006-08-22 Micron Technology, Inc. Method and device for checking lithography data
US7010776B2 (en) * 2003-10-27 2006-03-07 International Business Machines Corporation Extending the range of lithographic simulation integrals
KR100554915B1 (ko) * 2003-12-31 2006-02-24 동부아남반도체 주식회사 마스크 제조 방법
KR100590512B1 (ko) * 2003-12-31 2006-06-15 동부일렉트로닉스 주식회사 반도체 소자의 마스크 패턴 형성방법
US20050255411A1 (en) 2004-05-14 2005-11-17 Rex Frost Multiple exposure and shrink to achieve reduced dimensions
KR100614651B1 (ko) * 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7908572B2 (en) * 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
US7401319B2 (en) * 2004-12-23 2008-07-15 Invarium, Inc. Method and system for reticle-wide hierarchy management for representational and computational reuse in integrated circuit layout design
JP4551243B2 (ja) * 2005-02-25 2010-09-22 富士通セミコンダクター株式会社 露光データ生成装置および方法
US7310797B2 (en) * 2005-05-13 2007-12-18 Cadence Design Systems, Inc. Method and system for printing lithographic images with multiple exposures
US7343582B2 (en) * 2005-05-26 2008-03-11 International Business Machines Corporation Optical proximity correction using progressively smoothed mask shapes
US8132130B2 (en) 2005-06-22 2012-03-06 Asml Masktools B.V. Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
US7892703B2 (en) * 2005-08-12 2011-02-22 Asml Masktools B.V. CPL mask and a method and program product for generating the same
US7582413B2 (en) 2005-09-26 2009-09-01 Asml Netherlands B.V. Substrate, method of exposing a substrate, machine readable medium
US7650588B2 (en) * 2005-09-26 2010-01-19 Micronic Laser Systems Ab Methods and systems for pattern generation based on multiple forms of design data
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US20080050017A1 (en) * 2006-08-25 2008-02-28 Via Telecom Co., Ltd Transmission and reception of handwritten data on wireless devices without character recognition
US7617476B2 (en) 2006-09-13 2009-11-10 Asml Masktools B.V. Method for performing pattern pitch-split decomposition utilizing anchoring features
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
JP5032948B2 (ja) * 2006-11-14 2012-09-26 エーエスエムエル マスクツールズ ビー.ブイ. Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US7934177B2 (en) 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
US8311319B2 (en) 2010-12-06 2012-11-13 Seiko Epson Corporation L1-optimized AAM alignment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US20030140328A1 (en) * 2002-01-24 2003-07-24 Cobb Nicolas B. Selective promotion for resolution enhancement techniques
US20040003357A1 (en) * 2002-06-28 2004-01-01 Michal Palusinski Vertex based layout pattern (VEP): a method and apparatus for describing repetitive patterns in IC mask layout
US20050091014A1 (en) * 2003-10-27 2005-04-28 International Business Machines Corporation Performance in model-based OPC engine utilizing efficient polygon pinning method

Also Published As

Publication number Publication date
TW200839458A (en) 2008-10-01
US7865865B2 (en) 2011-01-04
JP5032948B2 (ja) 2012-09-26
EP1925978A2 (en) 2008-05-28
US20080184191A1 (en) 2008-07-31
KR100920232B1 (ko) 2009-10-05
KR20080043724A (ko) 2008-05-19
SG143183A1 (en) 2008-06-27
JP2008146038A (ja) 2008-06-26
US20110097653A1 (en) 2011-04-28
EP1925978A3 (en) 2009-07-08
CN101241300A (zh) 2008-08-13
US8495526B2 (en) 2013-07-23
CN101241300B (zh) 2011-02-23

Similar Documents

Publication Publication Date Title
TWI448824B (zh) 執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置
TWI382282B (zh) 實施用於圖案分解特徵的以模型為基礎的光學近似校正(opc)之方法與裝置
JP4717153B2 (ja) 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法
US8132130B2 (en) Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
JP4602962B2 (ja) 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
TWI475334B (zh) 微影裝置之整合及具多重圖案化製程之光罩最佳化製程
JP4751866B2 (ja) ターゲットパターンを複数のパターンに分解するための方法、そのコンピュータプログラムを記憶するコンピュータ読取可能記憶媒体、デバイス製造方法、およびマスクを生成するための方法
US7617476B2 (en) Method for performing pattern pitch-split decomposition utilizing anchoring features
JP4700664B2 (ja) アンカーリングフィーチャを利用したパターンピッチ分割分解を行うための方法
JP4580912B2 (ja) 改良型マスク、改良型マスクを作製するための方法およびプログラム

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees