JP2008146038A - Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置 - Google Patents

Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置 Download PDF

Info

Publication number
JP2008146038A
JP2008146038A JP2007294387A JP2007294387A JP2008146038A JP 2008146038 A JP2008146038 A JP 2008146038A JP 2007294387 A JP2007294387 A JP 2007294387A JP 2007294387 A JP2007294387 A JP 2007294387A JP 2008146038 A JP2008146038 A JP 2008146038A
Authority
JP
Japan
Prior art keywords
affected area
feature
target pattern
vertex
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007294387A
Other languages
English (en)
Other versions
JP5032948B2 (ja
Inventor
Robert John Socha
ジョン ソハ,ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML MaskTools Netherlands BV
Original Assignee
ASML MaskTools Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML MaskTools Netherlands BV filed Critical ASML MaskTools Netherlands BV
Publication of JP2008146038A publication Critical patent/JP2008146038A/ja
Application granted granted Critical
Publication of JP5032948B2 publication Critical patent/JP5032948B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • G03F7/706847Production of measurement radiation, e.g. synchrotron, free-electron laser, plasma source or higher harmonic generation [HHG]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Image Analysis (AREA)
  • Image Processing (AREA)
  • Electron Beam Exposure (AREA)

Abstract

【課題】ウェーハ上に印刷されるフィーチャを含むターゲットパターンを複数パターンに分解する方法。
【解決手段】この方法は、(a)結像されるフィーチャ間に必要な最小のスペースを示す影響領域を規定するステップ、(b)ターゲットパターンのフィーチャに関連した頂点を選択するステップ、(c)この頂点に対して他のフィーチャのエッジが影響領域内にあるかどうか判断するステップ、および(d)他のフィーチャのエッジが影響領域内にある場合、このフィーチャを2つの多角形に分割するステップを含む。
【選択図】図1

Description

[01] 本明細書は、参照により全文が本明細書に援用される2006年11月14日出願の米国特許出願第60/858702号の優先権を主張する。
[02] 本発明の技術分野は、全般に、ターゲットパターンがダブルパターニング技術(DPT)を利用して結像されることを可能にするようにターゲットパターンの分解を行うための方法、プログラムおよび装置に関する。
[03] リソグラフィ装置は、例えば集積回路(IC)の製造において使用することができる。このような場合、マスクはICの個々の層に対応した回路パターンを含むことができ、このパターンは、放射感応性材料(レジスト)の層でコーティングされた基板(シリコンウェーハ)上の(例えば、1つまたは複数のダイを含む)ターゲット部分上に結像することができる。一般に、単一のウェーハは、1回に1つずつ投影システムを介して連続して照射される隣接したターゲット部分のネットワーク全体を含むことになる。1つのタイプのリソグラフィ投影装置において、各ターゲット部分は、1回の工程実施においてターゲット部分上にマスクパターン全体を露光することにより照射され、このような装置は一般にウェーハステッパと呼ばれる。一般にステップアンドスキャン装置と呼ばれる代替装置においては、各ターゲット部分が所与の基準方向(「スキャン」方向)において投影ビーム下でマスクパターンを漸進的にスキャンさせることにより照射される一方、これと同期して、この方向に平行または逆平行に基板テーブルをスキャンさせている。一般に、この投影システムは拡大係数M(一般に<1)を有するため、基板テーブルがスキャンされる速度Vは、マスクテーブルがスキャンされる速度の係数M倍となる。本明細書に説明されているリソグラフィデバイスに関するより多くの情報は、例えば、参照により本明細書に援用される米国特許第6046792号より収集することができる。
[04] リソグラフィ投影装置を使用した製造プロセスにおいて、マスクパターンは、放射感応性材料(レジスト)の層により少なくとも部分的には覆われた基板上に結像される。この結像ステップに先立ち、基板は、下塗り、レジスト塗布、および、ソフトベーキングなど様々な手順を施すことができる。露光の後、基板は、露光後ベーキング(PEB)、現像、ハードベーキング、および結像されたフィーチャの測定/検査などの他の手順を受けることができる。この一連の手順は、例えばICなどのデバイスの個々の層をパターニングするための基礎として使用される。このようなパターニングされた層は、次いで、全てが個々の層を仕上げることを意図されているエッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械式研磨などの様々なプロセスを施すことができる。いくつかの層が必要であれば、各々の新しい層のために、手順全体またはその変形が反復されなければならない。その結果、デバイスのアレイが基板(ウェーハ)上に存在することになる。これらのデバイスは、次いで、ダイシングまたはソーイングなどの技術により互いから分離され、その後、個々のデバイスはキャリアに搭載され、ピンなどに接続することなどができる。
[05] 簡略さのために、投影システムは、以下、「レンズ」と呼ぶこともできるが、この用語は、例えば、屈折光学系、反射光学系、および、反射屈折光学系などを含めた様々なタイプの投影システムを包含するものとして広義に解釈されたい。放射システムは、放射投影ビームを誘導し、整形し、または制御するためのこれらの設計タイプのいずれかにより動作するコンポーネントも含むことができ、このようなコンポーネントも、以下、まとめて、または単独で、「レンズ」と呼ぶことができる。さらに、リソグラフィ装置は、2つ以上の基板テーブル(および/または、2つ以上のマスクテーブル)を有するタイプのものとすることができる。このような「マルチステージ」デバイスでは、追加のテーブルを並行して使用することができ、または、1つまたは複数のテーブルが露光に使用されている間、準備ステップを1つまたは複数の他のテーブル上で実行することができる。例えば、参照により本明細書に援用される米国特許第5969441号には、ツインステージリソグラフィ装置が説明されている。
[06] 前述のフォトリソグラフィマスクは、シリコンウェーハ上に集積されることになる回路コンポーネントに対応するジオメトリパターンを含んでいる。このようなマスクを作成するために使用されているパターンはCAD(computer-aided design)プログラムを利用して製作されており、このプロセスはしばしばEDA(electronic design automation)と呼ばれる。ほとんどのCADプログラムは、機能性マスクを製作するために所定の設計ルールセットに従っている。これらのルールはプロセスおよび設計の制限により設定されている。例えば、設計ルールは、回路デバイスまたは配線が望ましくない形で互いに相互作用しないことを確実にするために、(ゲート、コンデンサなどの)回路デバイス間または相互接続線(インターコネクトライン)間の空間的許容範囲を規定している。設計ルールの制限は通常「クリティカルディメンション」(CD)と呼ばれている。回路のクリティカルディメンションは、配線(ライン)もしくは孔(ホール)の最小幅、または、2本の配線もしくは2つの孔の間の最小空間として定義することができる。そのため、CDは設計された回路の全体的なサイズおよび密度を決定する。
[07] 当然、集積回路製造の目標の1つは(マスクを介して)ウェーハ上に本来の回路設計を忠実に再現することである。ターゲットパターンのクリティカルディメンションが益々小さくなるに従い、ウェーハ上にターゲットパターンを再現することが益々困難になっている。しかし、ウェーハ内に結像または再現することができる最小CDにおける再現を可能にする既知の技術がある。そのような技術の1つには、ターゲットパターンのフィーチャが別々の露光で2回結像される二重露光技術がある。
[08] ダブルパターニング技術と呼ばれるそのような技術の1つは、所与のターゲットパターンのフィーチャが2つの別のマスク内へ分離され、次いで別々に結像されて所望のパターンを形成することを可能にする。このような技術は、ターゲットフィーチャが、個々のフィーチャを結像することが可能とならないほどに一緒に密な間隔で配置されている際に一般的に利用されている。このような状況では、前述のように、与えられたマスク上の全てのフィーチャが互いから十分に離れて間隔を空けられ、それにより、各フィーチャが個別に結像できるように、ターゲットフィーチャが2つのマスク内に分離されている。次いで、(適切な遮蔽を使用して)双方のマスクを連続した形で結像することにより、単一マスクの使用では適切な結像が不可能である密な間隔のフィーチャを有するターゲットパターンを得ることができる。
[09] ダブルパターニング技術(DPT)を利用するとき、設計における多角形(polygon)は、複数の多角形に分割される必要があり得る。これら複数の多角形は、その後イメージングのために2つの(またはより多くの)マスクのうちの1つに割り当てられる(この割り当てプロセスもカラリング(coloring)と呼ばれる)。現行の細分化/分割アルゴリズム(fragmentation/splitting algorithms)は、かなり複雑で時間のかかるものになり得る。例えば、ルールベース分割アルゴリズムが利用されてきたが、今日の複雑な設計を扱うのに必要なルールの数は、ひどく大きくなることがある。さらに、しばしば設計の中でルールが定義されていない状況/矛盾があり、そのような場合、アルゴリズムが適当な結果を探し出しそこなうことがある。
[10] 本発明の目的は、二重露光技術のための既知の分解プロセスにおけるこのような不具合を克服することである。
[11] 上記に鑑みて、本発明の目的は、例えば複数の照明プロセスにおいて複数のマスクを利用することにより、別々に結像することができる別個のセグメントの中へターゲットパターンのフィーチャを分解または細分化する細分化プロセスを提供することにより、既知の従来技術の不具合を克服することである。
[12] より詳細には、本発明は、ウェーハに印刷されるフィーチャを含むターゲットパターンを複数のパターンに分解する方法に関する。この方法は、(a)結像されるフィーチャ間に必要な最小のスペースを示す影響領域を規定するステップ、(b)ターゲットパターンのフィーチャに関連した頂点を選択するステップ、(c)この頂点に対して他のフィーチャのエッジが影響領域内にあるかどうか判断するステップ、および(d)他のフィーチャのエッジが影響領域内にある場合、このフィーチャを2つの多角形に分割するステップを含む。
[13] 本発明は、従来技術に対して重要な利点を提供する。最も重要なことには、本発明は、別個の多角形の中へターゲットパターンのフィーチャを分解するための簡単で効率的な細分化プロセスを提供し、これが従来技術のルールベース細分化プロセスに関連した問題を解消する。
[14] 本発明の例示の実施形態の以下の詳細な説明から、当業者には本発明のさらなる利点が明らかになるであろう。
[15] 本文書においては、ICの製造における本発明の使用について特に言及しているかもしれないが、本発明が多くの他の可能な用途を有することを明確に理解されたい。例えば、本発明は、集積光学システム、磁気ドメインメモリのための誘導および検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッドなどの製造に採用することができる。当業者は、このような代替用途の文脈において、本文書における用語「レチクル」、「ウェーハ」、または「ダイ」のいずれの使用も、より一般的な用語「マスク」、「基板」、および「ターゲット部分」によってそれぞれ置き換えられると考えられることを理解されよう。
[16] 以下の詳細な説明および添付図面を参照することにより、本発明自体が、さらなる目的および利点とともに、より良く理解することができる。
[23] 本発明のパターン細分化プロセスは、ターゲットパターンの多角形を、後にDPTプロセスでカラリングされる複数の多角形へ分割するための方法をもたらす。以下でさらに詳細に説明されるように、この方法は、パターンのネガティブ領域で凸頂点によって生成されたエッジを細分化し、かつターゲットパターンのポジティブ領域で凹頂点によって生成されたエッジを細分化することにより、1つの多角形を複数の多角形に分割する。細分化プロセスは、光線が他の頂点またはエッジと交差するまで、各頂点から光線(またはベクトル)を延ばす必要がある。光線が出る頂点を有する近隣の多角形が影響領域(ROI:region of influence)内にあると、この交差は、近隣の多角形における分割をもたらすことができる。所与の多角形が分割されるか否かを判断するプロセスは、以下で明らかにされる。ターゲットパターンが、好ましくは標準データ形式である「gds」などのデータ形式で記述されることが注目される。しかし、任意の他の適当なデータ形式も利用することができる。
[24] 図1は、ターゲットパターンを複数のセグメントに分解するために利用される本発明の細分化プロセスを示す例示の流れ図である。図1を参照すると、プロセスの第1ステップ(ステップ10)は、光線が他のフィーチャのエッジまたは頂点と交差することによってセグメントを形成するまで、ターゲットパターンのフィーチャの所与の頂点からエッジ(すなわち光線またはベクトル)を延ばすことである。換言すれば、ステップ10は、起源頂点から近隣の頂点またはエッジの交点までセグメントの長さを算定する必要がある。
[25] 次いで、ステップ10で形成されたセグメントが次のステップ(ステップ12)で半分に分割され、セグメントの中間にポイントが配置される。このポイントからスタートして、定義された影響領域(ROI)と長さの等しい他の光線またはベクトルが、このセグメントに対して垂直に生成される/引き出される。ROIは、フィーチャ間で必要な最小のスペースを基本的に規定し、フィーチャが同一マスク内に結像されることを可能にする。ROIは好ましくは光学的に、例えばkλ/NAに設定される。ここで、kは1回の露光でフィーチャを印刷するための最小のk(一般にk>0.31より大きい)であり、λは照明源に関連した波長であり、NAは開口数である。ROIを光学的に設定することにより、ROI未満(または範囲内)の近隣エッジが同じ露光上に存在しないことを確実なものにする。
[26] 図1に戻って、プロセスの次のステップ(ステップ14)は、セグメントの中間から延びる光線が他のエッジと交差するかどうか判断することである。セグメントの中間から延びる光線が他のエッジと交差しない場合、その光線は近隣のフィーチャ/多角形の分割をもたらす可能性がないものとして無視されて、プロセスはステップ24に進み、処理されるターゲットパターンに何らかの追加頂点があるかどうか判断する。処理する追加頂点がないと、細分化プロセスは完了である。
[27] しかし、光線が他のエッジと交差する場合、これは、他のエッジが最初のフィーチャに対してROIの範囲内にあることを意味して、プロセスはステップ16に進み、光線がエッジと交差する位置のエッジ上に新規の頂点が配置される。このエッジはターゲットパターン内の隣接したフィーチャ/多角形に相当する。
[28] 次に、ステップ18において、新しく配置された頂点から、(引き続き同じ方向に)他のエッジと交差するまで光線が延ばされる。このエッジは、新しく配置された頂点が存在するのと同じ多角形のエッジになるべきものである。追加の新しい頂点は、この交点に配置される。次に、ステップ20において、新しく配置された2つの頂点がともに結合され、それによって、多角形/フィーチャを2つの多角形に分離する。この2つの多角形は、光線によって形成されたエッジを共有する。後続のカラリングプロセスで、これらの新しく形成された2つの多角形に様々なカラーを割り当てることができる(したがって様々なマスクへ割り当てることができる)ことが注目される。
[29] 前述のように、2つの多角形の形成に加えて、2つの新しい頂点が形成される。次のステップ(ステップ22)において、新しく生成された最新の頂点から、光線は、ROIと等しい長さで引き続き同じ方向に投影され、プロセスはステップ14に戻り、前述のプロセスステップが繰り返される。ある頂点からの両方の延長部が一旦評価されれば、この頂点がさらなる検討から除去されることが注目される。
[30] 図2〜図10は、例示のターゲットパターンへの本発明の前述の細分化プロセスの第1適用例を示す。図2は、3つの多角形/フィーチャ201、202および203を有する例示のターゲットパターンを示す。ターゲットパターンの第1頂点204が選択されている。前述のように、ターゲットパターンのフィーチャによって形成された頂点は、すべて前述のプロセスで処理/分析される。これは、ターゲットパターン(およびそこに含まれる頂点)に含まれるフィーチャを次々と処理することにより達成することができる。しかし、他の方法も許容できる。
[31] 図3は、ステップ10および12のプロセスを示し、セグメント301が、頂点204のエッジから、他のエッジまたは頂点(この例ではフィーチャ202に関連した頂点)と交差するまで延ばされる。次いで、光線302が生成され、セグメント301の中間点から両方向にROIと等しい距離だけ延びる。図3に示されるように、光線302は、ROIによって規定された距離の範囲内ではどのエッジとも接触せず、したがって、頂点204に関してそれ以上の措置は不要であり、プロセスは次の頂点205の分析を開始する。
[32] 頂点205の処理に関しては、同様に、セグメント401が、頂点205のエッジから、他のエッジまたは頂点(この例ではフィーチャ202に関連した頂点)と交差するまで延ばされる。次に、光線402が生成され、セグメントの中間点から両方向にROIと等しい距離だけ延びる。図4に示されるように、光線402は、ROIによって規定された距離の範囲内にあるフィーチャ203に関連したエッジと連絡する。このプロセスによれば、図5に示されるように、頂点405が交点の位置に配置され、次いで、光線は、他のエッジ(これも多角形203の一部を形成する)と交差するまで同じ方向に延ばされる。図6に示されるように、この交点の位置に他の頂点505が配置される。次いで、図7に示されるように、新しく形成された2つの頂点405および505は、2つの別個の多角形203aおよび203bを形成するように、エッジ801によって結合される。次に、図8に示されるように、光線は、頂点505からROIと等しい距離だけさらに延ばされ、前述のプロセスが繰り返される。この所与の例では、延ばされた光線はいかなる追加の多角形とも交差せず、したがってプロセスは完了し、その結果、図9に示されるように、ターゲットパターンの3つの元の多角形/フィーチャが、各々が後続のカラリングプロセスで別々にカラリングされることができる4つの多角形に細分化される。図10は、4つの多角形用の1つの可能なカラリング方式を示す。
[33] 図11〜図17は、前述の方法に従ってターゲットパターンを細分化する第2例を示す。図11のターゲットパターンは、4つのフィーチャ/多角形110、112、114および116を含む。図11に示されるように、細分化プロセスを適用して、光線113は所定のROI内の多角形114と交差し、その結果、図12および図13に示されるように、多角形114が、2つの多角形114aと114bに分割される。光線は、多角形114に加えられた第2の頂点から多角形116の方向に、ROIの距離だけさらに延ばされる。図13に示されるように、光線は多角形116とも交差する。細分化プロセスを適用して、この結果、図14に示されるように、多角形116が2つの多角形に分割される。その他のフィーチャがないので、図15に示されるように、多角形116を越えて光線をさらに延ばしてもその他のフィーチャの交差は生じない。結果として、細分化は図16に示され、6つの別個の多角形、110、112、114a、114b、116aおよび116bが示されている。図17は、6つの多角形向けの1つの可能なカラリング方式を示す。
[34] 図18〜図24は、図11〜図17に示された例の変形形態を示す。具体的には、ターゲットパターンの最初の3つのフィーチャ110、112および114は、図11に示されたものと同じであり、結果として、これらの多角形は同じやり方で細分化される。しかし、この例に示されるように、フィーチャ120はフィーチャ114に対してROIの外側にある。そのため、細分化プロセスはフィーチャ120を分割せず、フィーチャ120は、どちらのカラリング方式にも割り当てることができる。図18〜図24は、このターゲットパターンに適用された細分化プロセスを示す。図22に示されるように、フィーチャ120は、フィーチャ114に対してROIの外側にあり、したがってフィーチャ120は細分化されない。図23は、最終的な細分化を示し、図24は、細分化されたパターン用の1つの可能なカラリング方式を示す。
[35] 前述の細分化アルゴリズムがターゲットパターンのすべての頂点に適用される。その上、すべての頂点(すなわち凸および凹)が同様に処理される。頂点からの光線の生成を引き起こすための選択基準は皆無である。頂点によって生成された光線が多角形の範囲内と交差すると、複数の多角形が生成される。例えば、頂点に近い大きなランディングパッド形(landing pad type)多角形は、複数の多角形に分けることができる。大きな多角形の近くに多くの頂点がある場合、それは実際にチェッカーボード形(checker board type)パターンを形成することができる。光線は、また、セグメント形成ステップ中に所与の頂点から水平および垂直の両方向に延ばされる。多角形を生成するために、頂点を生成するエッジ内の小さな突出部を無視することも含むこともできることは、さらに注目される。突出部がROIの大きさの程度未満、すなわち(kλ)/(10NA)未満の長さのエッジを形成する場合、突出部によって生成された頂点は小さいと考えられる。
[36] 前述のように、多角形が細分化プロセスによって形成された後に、カラリングアルゴリズムが適用される。カラリングアルゴリズムは、設計を多重露光へ分割する(すなわちマスクを分離する)。このカラリングアルゴリズムは、ルールベースアプローチ(rule based approach)またはモデルベースアプローチ(model based approach)のどちらを利用しても行うことができる。しかし、カラリングアルゴリズムは、各露光のために多角形をともにグループ化するべきである。すなわち、各露光のために多角形をともに融合させるべきである。グループ化は、1つの露光のための多角形のエッジが、できるだけ多くの他の多角形のエッジと隣接するように行われる。カラリング重複(coloring conflicts)は、多重露光でのエッジの交点を最小限にすることにより解決される。数学的には、以下の基準を満たすべきである。
Aが露光1のエッジであり、Bが露光2のエッジであるとき、min{A∩B}であること。
この基準は、露光が2つだけのとき、2つの制約条件に従う。
1.すべてのi≠jの場合について、(A−Ai≠j)>ROI。但しAは露光1のエッジ。
2.すべてのi≠jの場合について、(B−Bi≠j)>ROI。但しBは露光2のエッジ。
[37] 前述の基準は、2つを上回る露光を含むように拡張することができる。2つを上回る露光のために、他の、エッジ交点の最小化に類似の基準が加えられ、すべての露光について露光間のエッジ数が最小化されるようになる。その上、基準1および2に類似の他の制約条件が、2を越える各露光のために加えられる。
[38] 前述のプロセスの変形形態もあり得る。例えば、ターゲットパターンの結像のために利用される所与のプロセスに基づいたシミュレーションプロセスまたは実験データによって、ROIを求めることが可能である。そのようなシミュレーションまたは実験データは、所与のプロセスのためにフィーチャが適切に結像するのに必要なフィーチャ間の最小許容スペースも示すことになる。
[39] 前述のように、本発明は、従来技術に対して重要な利点を提供する。最も重要なことには、本発明は、別個の多角形の中へターゲットパターンのフィーチャを分解するための簡単で効率的な細分化プロセスを提供し、これが従来技術のルールベース細分化プロセスに関連した問題を解消する。
[40] 図25は、前述の細分化プロセスを実施することができるコンピュータシステム100を示すブロック図である。コンピュータシステム100は、情報を通信するバス102または他の通信機構、および情報を処理するためにバス102に接続されたプロセッサ104を含む。コンピュータシステム100は、プロセッサ104によって実行される情報および命令を記憶するためにバス102に結合されたランダムアクセスメモリ(RAM)または他のダイナミックストレージデバイスなどのメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に一時変数または他の中間情報を記憶するためにも使用することができる。コンピュータシステム100はさらに、プロセッサ104用の静的情報および命令を記憶するためにバス102に結合されたリードオンリーメモリ(ROM)108または他のスタティックストレージデバイスを含む。情報および命令を記憶するために、磁気ディスクまたは光ディスクなどのストレージデバイス110が設けられ、バス102に結合される。
[41] コンピュータシステム100は、コンピュータのユーザに情報を表示するために、陰極線管(CRT)、フラットパネル、またはタッチパネルディスプレイなどのディスプレイ112に、バス102を介して結合することができる。情報およびコマンド選択をプロセッサ104へ通信するために、英数字および他のキーを含むインプットデバイス114が、バス102に結合される。他のタイプのユーザインプットデバイスは、方向情報およびコマンド選択をプロセッサ104に通信し、ディスプレイ112上のカーソルの動きを制御するマウス、トラックボール、またはカーソル方向キーなどのカーソルコントロール機器116である。このインプットデバイスは通常、第1軸(例えばx)および第2軸(例えばy)にという2つの自由度を有し、デバイスが平面内の位置を指定することを可能にする。タッチパネル(スクリーン)ディスプレイもインプットデバイスとして使用することができる。
[42] 本発明の一実施形態によれば、カラリングプロセスは、メインメモリ106に含まれる1つまたは複数の命令の1つまたは複数のシーケンスを実行するプロセッサ104に応答して、コンピュータシステム100によって実行することができる。このような命令は、ストレージデバイス110などの他のコンピュータ読取可能媒体からメインメモリ106に読み込ませることができる。メインメモリ106に含まれる命令シーケンスを実行すると、プロセッサ104は本明細書で述べたプロセスステップを実行する。マルチプロセス構成内の1つまたは複数のプロセッサを利用して、メインメモリ106に含まれた命令シーケンスを実行することもできる。代替実施形態では、ハードワイヤード回路を、ソフトウェア命令の代わりに、またはそれと組み合わせて使用して、本発明を実施することができる。したがって、本発明の実施形態は、ハードウェア回路およびソフトウェアのいかなる特定の組合せにも限定されない。
[43] 本明細書において使用されている用語「コンピュータ読取可能媒体」とは、プロセッサ104が実行する命令をプロセッサ104に提供することに関与する任意の媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、および伝送媒体を含むがこれらに限定されない、多くの形態を取ることができる。不揮発性媒体は、例えば、ストレージデバイス110などの光または磁気ディスクを含む。揮発性媒体は、メインメモリ106などのダイナミックメモリを含む。伝送媒体は、同軸ケーブル、銅線、および光ファイバを含み、バス102を構成するワイヤを含む。伝送媒体は、無線周波数(RF)および赤外線(IR)のデータ通信中に発生するような音波または光波の形態もとることができる。コンピュータ読取可能媒体の一般的形態は、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD−ROM、DVD、任意の他の光学的媒体、パンチカード、紙テープ、孔のパターンを持つ任意の他の物理的媒体、RAM、PROM、およびEPROM、フラッシュEPROM、任意の他のメモリチップまたはカートリッジ、以下に説明される搬送波、またはコンピュータが読み取ることができるいかなる他の媒体をも含む。
[44] 様々な形態のコンピュータ読取可能媒体も、1つまたは複数の命令の1つまたは複数のシーケンスを実行のためにプロセッサ104に搬送することに従事することができる。例えば、命令は、最初に、遠隔コンピュータの磁気ディスクに担持させることができる。遠隔コンピュータは命令を自身のダイナミックメモリにロードし、モデムを使用して電話回線を介して命令を送信することができる。コンピュータシステム100にローカルなモデムが電話回線でデータを受信し、赤外送信機を使用してデータを赤外線信号に変換することができる。バス102に結合された赤外ディテクタは赤外線信号で搬送されたデータを受信し、バス102上にデータを配置することができる。バス102は、データをメインメモリ106へ搬送し、そこからプロセッサ104が命令を検索し、実行する。メインメモリ106が受信した命令は、プロセッサ104による実行の前または後のいずれかに、任意選択でストレージデバイス110に記憶することができる。
[45] コンピュータシステム100はまた、バス102に結合された通信インターフェイス118を含むことが好ましい。通信インターフェイス118は、ローカルネットワーク122に接続されたネットワークリンク120への双方向データ通信結合を提供する。例えば、通信インターフェイス118は、対応するタイプの電話回線にデータ通信接続を提供する総合サービスデジタルネットワーク(ISDN)カードまたはモデムとすることができる。他の例として、通信インターフェイス118は、互換性LANにデータ通信接続を提供するローカルエリアネットワーク(LAN)カードとすることもできる。無線リンクも実施することができる。このような実施例のいずれにおいても、通信インターフェイス118は様々なタイプの情報を提示するデジタルデータストリームを搬送する電気、電磁気または光信号を送受信する。
[46] ネットワークリンク120は通常、1つまたは複数のネットワークを介して他のデータデバイスにデータ通信を提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を介してホストコンピュータ124またはインターネットサービスプロバイダ(ISP)126により運営されるデータ設備への接続を提供することができる。ISP126は、現在は一般に「インターネット」128と呼ばれている世界的なパケットデータ通信網を介してデータ通信サービスを提供する。ローカルネットワーク122およびインターネット128は双方とも、デジタルデータストリームを搬送する電気、電磁気、または光信号を使用する。コンピュータシステム100との間でデジタルデータを搬送する、様々なネットワークを介した信号およびネットワークリンク120上にあり、通信インターフェイス118を介した信号は、情報を伝送する搬送波の例示的形態である。
[47] コンピュータシステム100は、ネットワーク、ネットワークリンク120、および通信インターフェイス118を介して、プログラムコードを含み、メッセージを送信しデータを受信できる。インターネットの例では、サーバ130は、アプリケーションプログラムのための要求されたコードをインターネット128、ISP126、ローカルネットワーク122、および通信インターフェイス118を介して送信することができる。本発明によれば、1つのこのようなダウンロードされたアプリケーションは、例えば本実施形態の照明の最適化を提供する。受信コードは、受信されるとプロセッサ104により実行する、および/または、後に実行するためにストレージデバイス110もしくは他の不揮発性ストレージに記憶することができる。このようにして、コンピュータシステム100は搬送波の形態でアプリケーションコードを取得することができる。
[48] 図26は、本発明の助けでデザインされたマスクとともに使用するのに適したリソグラフィ投影装置の概略を示している。この装置は、
− 放射投影ビームPBを供給するための放射システムEx、ILであって、この特定の場合において放射源LAも含むシステムと、
− マスクMA(例えばレチクル)を保持するマスクホルダが設けられ、アイテムPLに対してマスクを正確に位置決めする第1位置決め手段に接続された第1オブジェクトテーブル(マスクテーブル)MTと、
− 基板W(例えばレジストコートシリコンウェーハ)を保持する基板ホルダが設けられ、アイテムPLに対して基板を正確に位置決めする第2位置決め手段に接続された第2オブジェクトテーブル(基板テーブル)WTと、
− マスクMAの照射部分を基板Wのターゲット部分C(例えば1つまたは複数のダイを含む)に結像する投影システム(「レンズ」)PL(例えば屈折、反射、または屈折反射光学システム)と、を含む。
[49] 本明細書に示している装置は透過タイプのもの(つまり透過型マスクを有する)である。しかし、一般に、装置は、例えば(反射性マスクを備えた)反射タイプのものとすることもできる。あるいは、装置はマスクの使用の代替として他の種類のパターニング手段を採用してもよく、その例はプログラマブルミラーアレイまたはLCDマトリクスを含む。
[50] 放射源LA(例えば水銀ランプまたはエキシマレーザ)は放射ビームを生成する。このビームは、直接的に、または例えばビームエキスパンダExなどの調整手段を横切った後に照明システム(イルミネータ)ILに供給される。イルミネータILは、ビームの強度分布の外側および/または内側半径範囲(一般にそれぞれσ-outerおよびσ-innerと呼ばれる)を設定する調節手段AMを備えても良い。また、イルミネータILは、一般に、インテグレータINおよびコンデンサCOなどの様々な他のコンポーネントを備えても良い。このように、マスクMAに当たったビームPBは、自身の断面にわたって所望の均一性および強度分布を有する。
[51] 図26に関して、放射源LAは、(放射源LAが例えば水銀ランプの場合によくあるように)リソグラフィ投影装置のハウジング内にあってもよいが、リソグラフィ投影装置から離れていて、これが生成する放射ビームを(例えば適切な誘導ミラーの助けにより)装置内に導いてもよく、後者のシナリオは、放射源LAが(例えば、KrF、ArF、またはFのレージングに基づく)エキシマレーザである場合に多いということに留意されたい。本発明はこれらのシナリオの双方を包含している。
[52] その後、ビームPBはマスクテーブルMA上に保持されたマスクMAを横切る。マスクMAを通り抜けると、ビームPBは、基板Wのターゲット部分C上にビームPBを集束させるレンズPLを通過する。第2位置決め手段(および干渉計測定手段IF)の助けにより、基板テーブルWTを、例えばビームPBの経路において異なるターゲット部分Cを位置決めするように正確に移動できる。同様に、第1位置決め手段を使用して、例えばマスクライブラリからのマスクMAの機械的検索の後に、またはスキャン中に、ビームPBの経路に対してマスクMAを正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、図26に明示的には示されていないロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)の助けにより実現される。しかし、ウェーハステッパの場合(ステップアンドスキャンツールとは対照的に)、マスクテーブルMTはショートストロークアクチュエータのみに接続できるか、または固定することができる。
[53] 図示されたツールは2つの異なるモードで使用することができる。
− ステップモードにおいては、マスクテーブルMTは基本的に静止状態に維持され、マスクイメージ全体が1回でターゲット部分Cに投影される(すなわち単一「フラッシュ」)。次いで、別のターゲット部分CをビームPBにより照射できるように、基板テーブルWTがx方向および/またはy方向にシフトされる。
− スキャンモードにおいては、基本的に同じシナリオが当てはまるが、任意のターゲット部分Cが単一「フラッシュ」では露光されない。代わりに、マスクテーブルMTは、任意の方向(いわゆる「スキャン方向」、例えばy方向)に速度vで移動可能となっており、これにより投影ビームPBはマスクイメージにわたり走査させられ、これと同時に、基板テーブルWTは、速度V=Mvで同一方向または逆方向に同時に移動される。ここで、MはレンズPLの倍率(一般的にM=1/4または1/5)である。このようにして、解像度を妥協することなく、比較的大きなターゲット部分Cを露光することができる。
[54] 本発明を詳細に説明、図示してきたが、これは例証および例示にすぎず、限定と見なすものではなく、本発明の範囲は特許請求の範囲の用語によってのみ限定されることを明確に理解されたい。
[17]ターゲットパターンを、後にカラリングされて複数の照明プロセスで利用される複数のセグメントに分解するために利用される本発明の細分化プロセスを示す例示の流れ図。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [18]例示のターゲットパターンへの本発明の細分化プロセスの第1適用例を示す。 [19]例示のターゲットパターンへの本発明の細分化プロセスの第2適用例を示す。 [19]例示のターゲットパターンへの本発明の細分化プロセスの第2適用例を示す。 [19]例示のターゲットパターンへの本発明の細分化プロセスの第2適用例を示す。 [19]例示のターゲットパターンへの本発明の細分化プロセスの第2適用例を示す。 [19]例示のターゲットパターンへの本発明の細分化プロセスの第2適用例を示す。 [19]例示のターゲットパターンへの本発明の細分化プロセスの第2適用例を示す。 [19]例示のターゲットパターンへの本発明の細分化プロセスの第2適用例を示す。 [20]例示のターゲットパターンへの本発明の細分化プロセスの第3適用例を示す。 [20]例示のターゲットパターンへの本発明の細分化プロセスの第3適用例を示す。 [20]例示のターゲットパターンへの本発明の細分化プロセスの第3適用例を示す。 [20]例示のターゲットパターンへの本発明の細分化プロセスの第3適用例を示す。 [20]例示のターゲットパターンへの本発明の細分化プロセスの第3適用例を示す。 [20]例示のターゲットパターンへの本発明の細分化プロセスの第3適用例を示す。 [20]例示のターゲットパターンへの本発明の細分化プロセスの第3適用例を示す。 [21]本発明の細分化プロセスを実施することができるコンピュータシステムを示すブロック図。 [22]開示された概念を用いて設計されたマスクとともに使用するのに適した例示のリソグラフィ投影装置を概略的に示す。

Claims (18)

  1. ウェーハ上に印刷されるフィーチャを含むターゲットパターンを複数パターンに分解するための方法であって、
    (a)結像されるフィーチャ間に必要な最小のスペースを示す影響領域を規定するステップ、
    (b)前記ターゲットパターンのフィーチャに関連した頂点を選択するステップ、
    (c)前記頂点に対して他のフィーチャのエッジが前記影響領域内にあるかどうか判断するステップ、および
    (d)前記他のフィーチャの前記エッジが前記影響領域内にある場合、前記フィーチャを2つの多角形に分割するステップ
    を含む方法。
  2. 前記ターゲットパターンの各フィーチャの各頂点が分析されるように前記ステップ(b)〜(d)を繰り返すステップをさらに含む、請求項1に記載の方法。
  3. 前記影響領域が式kλ/NAによって規定され、kは単一露光においてフィーチャを印刷するための最小のkであり、λは照明源に関連した波長であり、NAは開口数である、請求項1に記載の方法。
  4. シミュレーションプロセスまたは実際の実験的結像データのうち少なくとも1つによって前記影響領域が求められる、請求項1に記載の方法。
  5. ステップ(c)が、
    前記頂点から、セグメントを、前記フィーチャの1つのエッジまたは頂点のうち少なくとも1つと交差するまで延ばすステップ、および
    前記セグメントの中間部分から、前記影響領域と等しい長さだけ光線を延ばすステップ
    を含む、請求項1に記載の方法。
  6. ウェーハ上に印刷されるフィーチャを含むターゲットパターンを複数パターンに分解するためのコンピュータプログラムを有するコンピュータ読取可能媒体であって、実行時、コンピュータに、
    (a)結像されるフィーチャ間に必要な最小のスペースを示す影響領域を規定するステップ、
    (b)前記ターゲットパターンのフィーチャに関連した頂点を選択するステップ、
    (c)前記頂点に対して他のフィーチャのエッジが前記影響領域内にあるかどうか判断するステップ、および
    (d)前記他のフィーチャの前記エッジが前記影響領域内にある場合、前記フィーチャを2つの多角形に分割するステップ
    を実行させる、コンピュータ読取可能媒体。
  7. 前記ターゲットパターンの各フィーチャの各頂点が分析されるように前記ステップ(b)〜(d)を繰り返すステップをさらに含む、請求項6に記載のコンピュータ読取可能媒体。
  8. 前記影響領域が式kλ/NAによって規定され、kは単一露光においてフィーチャを印刷するための最小のkであり、λは照明源に関連した波長であり、NAは開口数である、請求項6に記載のコンピュータ読取可能媒体。
  9. シミュレーションプロセスまたは実際の実験的結像データのうち少なくとも1つによって前記影響領域が求められる、請求項6に記載のコンピュータ読取可能媒体。
  10. ステップ(c)が、
    前記頂点から、セグメントを、前記フィーチャの1つのエッジまたは頂点のうち少なくとも1つと交差するまで延ばすステップ、および
    前記セグメントの中間部分から、前記影響領域と等しい長さだけ光線を延ばすステップ
    を含む、請求項6に記載のコンピュータ読取可能媒体。
  11. (a)放射感応性材料の層によって少なくとも部分的に覆われる基板を設けるステップ、
    (b)結像システムを使用して、放射投影ビームを供給するステップ、
    (c)マスク上のターゲットパターンを使用して、前記投影ビームにその断面内にてパターンを与えるステップ、および
    (d)放射感応性材料の層のターゲット部分上に前記パターン付き放射ビームを投影するステップ
    を含むデバイス製造方法であって、
    ステップ(c)において、前記マスクが、
    (e)結像される前記ターゲットパターンのフィーチャ間に必要な最小のスペースを示す影響領域を規定するステップ、
    (f)前記ターゲットパターンのフィーチャに関連した頂点を選択するステップ、
    (g)前記頂点に対して他のフィーチャのエッジが前記影響領域内にあるかどうか判断するステップ、および
    (h)前記他のフィーチャのエッジが前記影響領域内にある場合、前記フィーチャを2つの多角形に分割するステップ
    を含む方法によって形成される、デバイス製造方法。
  12. (a)結像されるターゲットパターンのフィーチャ間に必要な最小のスペースを示す影響領域を規定するステップ、
    (b)前記ターゲットパターンのフィーチャに関連した頂点を選択するステップ、
    (c)前記頂点に対して他のフィーチャのエッジが前記影響領域内にあるかどうか判断するステップ、および
    (d)前記他のフィーチャのエッジが前記影響領域内にある場合、前記フィーチャを2つの多角形に分割するステップ
    を含む、フォトリソグラフィプロセスで利用されるマスクを生成するための方法。
  13. 前記ターゲットパターンの各フィーチャの各頂点が分析されるように前記ステップ(b)〜(d)を繰り返すステップをさらに含む、請求項12に記載の方法。
  14. 前記影響領域が式kλ/NAによって規定され、kは単一露光においてフィーチャを印刷するための最小のkであり、λは照明源に関連した波長であり、NAは開口数である、請求項12に記載の方法。
  15. シミュレーションプロセスまたは実際の実験的結像データのうち少なくとも1つによって前記影響領域が求められる、請求項12に記載の方法。
  16. ステップ(c)が、
    前記頂点から、セグメントを、前記フィーチャの1つのエッジまたは頂点のうち少なくとも1つと交差するまで延ばすステップ、および
    前記セグメントの中間部分から、前記影響領域と等しい長さだけ光線を延ばすステップ
    を含む、請求項12に記載の方法。
  17. 請求項1に記載の方法を利用して生成されたマスク。
  18. 請求項12に記載の方法を利用して生成されたマスク。
JP2007294387A 2006-11-14 2007-11-13 Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置 Expired - Fee Related JP5032948B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US85870206P 2006-11-14 2006-11-14
US60/858,702 2006-11-14

Publications (2)

Publication Number Publication Date
JP2008146038A true JP2008146038A (ja) 2008-06-26
JP5032948B2 JP5032948B2 (ja) 2012-09-26

Family

ID=39078594

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007294387A Expired - Fee Related JP5032948B2 (ja) 2006-11-14 2007-11-13 Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置

Country Status (7)

Country Link
US (2) US7865865B2 (ja)
EP (1) EP1925978A3 (ja)
JP (1) JP5032948B2 (ja)
KR (1) KR100920232B1 (ja)
CN (1) CN101241300B (ja)
SG (1) SG143183A1 (ja)
TW (1) TWI448824B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009139938A (ja) * 2007-11-13 2009-06-25 Brion Technologies Inc フルチップ設計のパターン分解を行うための方法
JP2014509785A (ja) * 2011-03-02 2014-04-21 日本テキサス・インスツルメンツ株式会社 ダブルパターニングされるリソグラフィプロセスのためのパターン分割分解ストラテジー

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5032948B2 (ja) * 2006-11-14 2012-09-26 エーエスエムエル マスクツールズ ビー.ブイ. Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US7934177B2 (en) * 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
US8429574B2 (en) 2011-04-14 2013-04-23 Cadence Design Systems, Inc. Dual-pattern coloring technique for mask design
US8516402B1 (en) * 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
JP6598421B2 (ja) * 2013-02-22 2019-10-30 キヤノン株式会社 マスクパターンの決定方法、プログラム、情報処理装置
CN103869598B (zh) * 2014-03-24 2017-05-10 上海华力微电子有限公司 离子注入层的光学临近效应修正方法
KR102491661B1 (ko) 2016-01-12 2023-01-26 삼성전자주식회사 반도체 장치의 제조 방법
TW202208984A (zh) * 2020-06-29 2022-03-01 美商新思科技股份有限公司 使用設計引導偏移的遮罩合成

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07199448A (ja) * 1993-12-28 1995-08-04 Toshiba Corp 露光用マスク
JPH11121374A (ja) * 1998-08-24 1999-04-30 Nikon Corp 露光方法
JP2003520999A (ja) * 2000-01-20 2003-07-08 エルエスアイ ロジック コーポレーション 幾何学的エアリアルイメージシミュレーション

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07104855B2 (ja) * 1985-03-28 1995-11-13 インターナショナル・ビジネス・マシーンズ・コーポレーション 数値シミュレーション装置
DE3902693C2 (de) 1988-01-30 1995-11-30 Toshiba Kawasaki Kk Mehrebenenverdrahtung für eine integrierte Halbleiterschaltungsanordnung und Verfahren zur Herstellung von Mehrebenenverdrahtungen für integrierte Halbleiterschaltungsanordnungen
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US6311319B1 (en) * 1998-05-22 2001-10-30 Taiwan Semiconductor Manufacturing Company Solving line-end shortening and corner rounding problems by using a simple checking rule
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
JP2001230182A (ja) 2000-02-16 2001-08-24 Nikon Corp 半導体装置の製造方法
US6792590B1 (en) 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US7735052B2 (en) * 2001-04-24 2010-06-08 Asml Masktools Netherlands B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US6668367B2 (en) * 2002-01-24 2003-12-23 Nicolas B. Cobb Selective promotion for resolution enhancement techniques
US6892367B2 (en) * 2002-06-28 2005-05-10 Pdf Solutions, Inc. Vertex based layout pattern (VEP): a method and apparatus for describing repetitive patterns in IC mask layout
JP2004133427A (ja) * 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
US7096452B2 (en) * 2003-06-24 2006-08-22 Micron Technology, Inc. Method and device for checking lithography data
US7287239B2 (en) * 2003-10-27 2007-10-23 International Business Machines Corporation Performance in model-based OPC engine utilizing efficient polygon pinning method
US7010776B2 (en) * 2003-10-27 2006-03-07 International Business Machines Corporation Extending the range of lithographic simulation integrals
KR100554915B1 (ko) * 2003-12-31 2006-02-24 동부아남반도체 주식회사 마스크 제조 방법
KR100590512B1 (ko) * 2003-12-31 2006-06-15 동부일렉트로닉스 주식회사 반도체 소자의 마스크 패턴 형성방법
US20050255411A1 (en) 2004-05-14 2005-11-17 Rex Frost Multiple exposure and shrink to achieve reduced dimensions
KR100614651B1 (ko) * 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7908572B2 (en) * 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
US7401319B2 (en) * 2004-12-23 2008-07-15 Invarium, Inc. Method and system for reticle-wide hierarchy management for representational and computational reuse in integrated circuit layout design
JP4551243B2 (ja) * 2005-02-25 2010-09-22 富士通セミコンダクター株式会社 露光データ生成装置および方法
US7310797B2 (en) * 2005-05-13 2007-12-18 Cadence Design Systems, Inc. Method and system for printing lithographic images with multiple exposures
US7343582B2 (en) * 2005-05-26 2008-03-11 International Business Machines Corporation Optical proximity correction using progressively smoothed mask shapes
US8132130B2 (en) 2005-06-22 2012-03-06 Asml Masktools B.V. Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
US7892703B2 (en) * 2005-08-12 2011-02-22 Asml Masktools B.V. CPL mask and a method and program product for generating the same
CN101305323B (zh) * 2005-09-26 2011-03-30 麦克罗尼克激光系统公司 用于基于多种形式的设计数据的图案生成的方法和系统
US7582413B2 (en) 2005-09-26 2009-09-01 Asml Netherlands B.V. Substrate, method of exposing a substrate, machine readable medium
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US20080050017A1 (en) * 2006-08-25 2008-02-28 Via Telecom Co., Ltd Transmission and reception of handwritten data on wireless devices without character recognition
US7617476B2 (en) 2006-09-13 2009-11-10 Asml Masktools B.V. Method for performing pattern pitch-split decomposition utilizing anchoring features
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
JP5032948B2 (ja) * 2006-11-14 2012-09-26 エーエスエムエル マスクツールズ ビー.ブイ. Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US7934177B2 (en) 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
US8311319B2 (en) 2010-12-06 2012-11-13 Seiko Epson Corporation L1-optimized AAM alignment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07199448A (ja) * 1993-12-28 1995-08-04 Toshiba Corp 露光用マスク
JPH11121374A (ja) * 1998-08-24 1999-04-30 Nikon Corp 露光方法
JP2003520999A (ja) * 2000-01-20 2003-07-08 エルエスアイ ロジック コーポレーション 幾何学的エアリアルイメージシミュレーション

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009139938A (ja) * 2007-11-13 2009-06-25 Brion Technologies Inc フルチップ設計のパターン分解を行うための方法
JP2014509785A (ja) * 2011-03-02 2014-04-21 日本テキサス・インスツルメンツ株式会社 ダブルパターニングされるリソグラフィプロセスのためのパターン分割分解ストラテジー
JP2014510403A (ja) * 2011-03-02 2014-04-24 日本テキサス・インスツルメンツ株式会社 ハイブリッドピッチ分割パターン分割リソグラフィプロセス

Also Published As

Publication number Publication date
US7865865B2 (en) 2011-01-04
EP1925978A2 (en) 2008-05-28
EP1925978A3 (en) 2009-07-08
US8495526B2 (en) 2013-07-23
CN101241300A (zh) 2008-08-13
JP5032948B2 (ja) 2012-09-26
SG143183A1 (en) 2008-06-27
KR20080043724A (ko) 2008-05-19
TW200839458A (en) 2008-10-01
CN101241300B (zh) 2011-02-23
US20110097653A1 (en) 2011-04-28
US20080184191A1 (en) 2008-07-31
TWI448824B (zh) 2014-08-11
KR100920232B1 (ko) 2009-10-05

Similar Documents

Publication Publication Date Title
JP5032948B2 (ja) Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
KR100882260B1 (ko) 패턴 분해된 피처들을 위한 모델-기반 opc를 수행하는장치 및 방법
JP4617272B2 (ja) 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法
US8132130B2 (en) Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
US8572521B2 (en) Method for performing pattern decomposition for a full chip design
JP4751866B2 (ja) ターゲットパターンを複数のパターンに分解するための方法、そのコンピュータプログラムを記憶するコンピュータ読取可能記憶媒体、デバイス製造方法、およびマスクを生成するための方法
KR100860088B1 (ko) 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치
US7617476B2 (en) Method for performing pattern pitch-split decomposition utilizing anchoring features
JP4700664B2 (ja) アンカーリングフィーチャを利用したパターンピッチ分割分解を行うための方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120427

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120601

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120629

R150 Certificate of patent or registration of utility model

Ref document number: 5032948

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150706

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees