CN101075573A - 绝缘体上有硅的结构及其制造方法 - Google Patents

绝缘体上有硅的结构及其制造方法 Download PDF

Info

Publication number
CN101075573A
CN101075573A CNA2007100035320A CN200710003532A CN101075573A CN 101075573 A CN101075573 A CN 101075573A CN A2007100035320 A CNA2007100035320 A CN A2007100035320A CN 200710003532 A CN200710003532 A CN 200710003532A CN 101075573 A CN101075573 A CN 101075573A
Authority
CN
China
Prior art keywords
silicon
manufacture method
ledge
protective layer
semi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007100035320A
Other languages
English (en)
Other versions
CN100555601C (zh
Inventor
李明修
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Macronix International Co Ltd
Original Assignee
Macronix International Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix International Co Ltd filed Critical Macronix International Co Ltd
Publication of CN101075573A publication Critical patent/CN101075573A/zh
Application granted granted Critical
Publication of CN100555601C publication Critical patent/CN100555601C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明是有关于一种绝缘体上有硅的结构的制造方法,此方法是先提供单晶硅基底,所述单晶硅基底的一部分上形成有元件图案,其中所述元件图案具有突出部分。然后,在所述突出部分的一部分上形成保护层。之后,使用热氧化处理在所述突出部分与所述单晶硅基底之间形成氧化物绝缘层。本发明可以提供便利且廉价的SOI形成方法,其不需要任何新的技术模组。另外,SOI元件可提供低漏电、低闸极寄生电容,且可避免闭锁问题。SOI元件还可提供可控的基底偏压和较佳的导热性。

Description

绝缘体上有硅的结构及其制造方法
技术领域
本发明是有关于一种绝缘体上有硅(silicon-on-insulator,SOI)和部分SOI结构,且特别是有关于这种SOI和部分SOI结构的制造方法。
背景技术
由于SOI结构的低漏电、低闸极寄生电容和无闭锁(latch-up free)特性,SOI结构普遍用于进阶半导体元件。形成SOI元件的常规方法的实例包括:1)晶片结合与分离;2)高能量氧注入后高温退火(常被称作“SIMOX”);和3)氢离子分离技术。
由于复杂的制造方法且需要特殊工具,所以这些常规方法中的每种方法都可能非常昂贵。由于需要将所有元件放置在SOI层上或不放置元件,这些方法中的一些方法在使用中也受到限制。控制SOI的厚度可能也比较困难。氢离子分离技术还可导致SOI膜层中和/或其介面处的粒子缺陷。另外,在一些高能量氧注入方法中,主动区域外的硅材料在结构方面可变成多晶,而导致较高的电阻。
发明内容
本发明一般涉及SOI和部分SOI结构,且更确切地说,涉及这种SOI和部分SOI结构的制造方法。根据本发明的方法包括形成SOI结构的有利途径,所述方法可整个地形成为SOI区域或形成为与非SOI区域结合的部分SOI区域。除非明确称为“部分”SOI结构,否则本文对“SOI结构”的参考是指SOI结构或部分SOI结构。
本发明为小元件提供形成SOI区域的方法,同时允许在常规非SOI基底区域上制造大元件,常规非SOI基底区域可为那些元件提供较佳的导热性。此外,根据本发明的方法较简单,且因此更经济。此外,根据本发明的方法允许较大地控制SOI元件的厚度。
本发明的一个实施例包括SOI结构的制造方法,此方法是先提供单晶硅基底,所述单晶硅基底的一部分上形成有元件图案,所述元件图案包含突出部分。然后,在所述突出部分的一部分上形成保护层。之后,使用热氧化处理在突出部分与基底之间形成氧化物绝缘层。
本发明的另一实施例包括部分SOI结构的制造方法,此方法是先提供其上形成有元件图案的单晶硅基底,其中所述元件图案包含非SOI区域和具有突出部分的SOI区域。然后,在突出部分的一部分上形成保护层。之后,使用热氧化处理在突出部分与单晶硅基底之间形成氧化物绝缘层。
本发明的其他实施例包括使用根据本文所述的实施例中的任一者的方法而制造的SOI结构。
在本发明的几个实施例中,形成氧化物绝缘层的方法包括热氧化法。SOI和非SOI元件区域都可藉由使用根据本发明的方法来制造。在某些实施例中,保护层可包含氮化硅(SixNy或简写为“SiN”)材料。保护层可用于界定SOI和非SOI区域,因为由保护层覆盖的元件图案的那些区域受到保护使其不会氧化,而未由保护层覆盖的区域被氧化。因此,尽管选择性地放置一保护层或多个保护层,且另外藉由调整保护层厚度,可控制热氧化来提供SOI区域,其中氧化物绝缘层形成于突出部分与基底之间,以及非SOI区域,其中保护层和/或非SOI区域的宽度防止在非SOI区域下形成氧化物绝缘层,从而使非SOI区域不与基底隔离。
本发明的另一实施例包括SOI结构,此结构包含位于单晶硅基底上的元件图案,其中所述元件图案包括从基底向外延伸的突出部分,以及使用热氧化处理形成于所述单晶硅基底上和所述突出部分下的氧化物绝缘层,以使得氧化物绝缘层隔离突出部分与单晶硅基底。
本发明的又一实施例包括部分SOI结构,此结构包含其表面上安置有元件图案的单晶硅基底,所述元件图案包含非SOI区域和具有突出部分的SOI区域,以及安置在元件图案中的氧化物绝缘层,其中绝缘层的一部分安置在所述突出部分下,使得突出部分与单晶基底相隔离,且其中非SOI区域不与单晶硅基底隔离。
本发明提供便利且廉价的SOI形成方法,其不需要新的处理模组。
为让本发明的上述和其他目的、特征和优点能更明显易懂,下文特举实施例,并配合所附图式,作详细说明如下。
附图说明
图1A是根据本发明实施例的部分SOI结构的示意图。
图1B是根据本发明实施例的用于形成部分SOI结构的示范性方法的流程框图。
图2A是根据本发明实施例的具有SOI元件区域和非蚀刻的非SOI元件区域的单晶基底的示意图。
图2B是根据本发明实施例的具有SOI元件区域和蚀刻的非SOI元件区域的单晶基底的示意图。
图3A是展示根据本发明实施例的使用SiN隔离物处理在SOI元件的侧壁上形成保护层的示意图。
图3B是根据本发明实施例展示使用SiN加盖处理在SOI元件的侧壁上形成保护层的示意图。
图4A是根据本发明实施例展示在图3A中所示的具有SiN隔离物保护的结构上形成氧化物绝缘层的示意图。
图4B是根据本发明实施例展示在图3B中所示的具有SiN盖保护的结构上形成氧化物绝缘层的示意图。
图5是根据本发明实施例展示移除保护层,而结构的顶部上的盖氧化物层保持完好的示意图。
图6A是根据本发明实施例展示部分移除图5中所示的盖氧化物层的示意图。
图6B是根据本发明实施例展示完全移除图5中所示的盖氧化物层的示意图。
图7是根据本发明实施例位于SOI上的示范性FinFET的示意图。
图8是根据本发明实施例具有SOI上的浮闸或SONOS FinFET的示范性NAND记忆体阵列的示意图。
图9A是沿线9A-9A截取的图8的NAND记忆体阵列的横截面的示意图。
图9B是沿线9B-9B截取的图8的NAND记忆体阵列的横截面的示意图。
图9C是沿线9C-9C截取的图8的NAND记忆体阵列的横截面的示意图。
100:SOI结构                101、201、301、701:基底
102、104、202、204、252、254:元件区域
106、822:氧化物绝缘层      110、114:元件
112:位置                   116:区域
175:方法                   180~186:步骤
205、255:线                302、352:SOI元件
303、704:非SOI区域         304、354:非SOI元件
320:隔离物保护层           324:保护层
360:SiN盖                  420:盖氧化物层
422、452:鸟嘴氧化物层      702:SOI区域
706、806:源极和汲极主动区域802:SOI元件区域
804:非SOI区域              810:鳍状物单元
820:字线                   926:闸极介电材料
930:                       D:汲极
S:源极
具体实施方式
现将详细参考本发明和其目前优选的实施例,在附图中说明其实例。只要有可能,在附图和描述中使用相同或相似参考数位来指代相同或类似的部分。应注意,附图采用极简化的形式且并非按精确比例绘制。关于本文的揭示内容,仅出于方便和清楚的目的,相对于附图而使用例如顶部、底部、左、右、上、下、上方、下方、下面、后面和前面的方向术语。结合附图的以下描述所使用的这些方向术语不应被理解成以所附权利要求书中未明确陈述的任何方式来限制本发明的范围。尽管本文的揭示内容是指某些所说明的实施例,应了解,是以实例方式而不是以限制方式来展示这些实施例。应了解并意识到,本文所述的方法步骤和结构不会涵盖制造整个积体电路的完整方法流程。本发明可结合可用于已知技术或待开发的技术中的各种积体电路制造技术来实践。
图1A说明根据本发明一个实施例的部分SOI结构100。如图所示,SOI结构100包括具有元件图案的单晶基底101,所述元件图案包含小元件区域102和大元件区域104。氧化物绝缘层106形成于基底101与元件110之间的基底101上。其中氧化物绝缘层106安置在元件110的下方的小元件区域102包含其中元件110与基底101相隔离的SOI区域。大元件区域104包含其中大元件114不与基底101隔离的非SOI区域。图1A中所描绘的每个元件都代表元件图案中的突出部分(从基底向外延伸)。根据本发明的单晶基底可包含占大部分材料(如果不是所有的话)的具有长程晶序(long-range crystalline order)的单晶硅。如本文所使用,单晶硅基底是指具有电子特性的晶体硅材料,其优选好于多晶和非晶材料,且通常在整个材料中以晶体结构排序,但不必要意味100%晶体完整性。优选整个元件区域中具有长程晶序的高级单晶硅基底。
可藉由使用热氧化处理来形成氧化物绝缘层106。当元件足够小时,例如元件110,氧化物绝缘层106从所述元件的两侧形成于元件图案中且最终在小单晶元件下形成氧化物绝缘(隔离)层。在位置112处展示小元件下方的这个隔离层。如本文所使用,“小”元件通常是指元件图案中的突出部分,其具有十分小的宽度,足以使氧化物层从每一侧成长,使得两层在突出部分的下面会合以隔离突出部分与下面的基底。“小”元件的特定最大宽度可基于例如氧化时间和温度、氧化化学物质的氧化参数而变化,且还可基于保护层的厚度而变化。可结合保护层厚度来调整氧化时间、温度和化学配方来适应变化的小元件宽度。
各个优选实施例中,可执行氧化处理以隔离宽度为约400nm或更小的“小”元件突出部分。在某些优选实施例中,热成长的氧化物层可从两侧在200nm宽的突出部分下延伸且会合以在突出部分下形成绝缘(隔离)层,在突出部分处以约750℃到约1100℃的温度执行氧化,持续约1小时到约24小时的持续时间,其中保护层包含具有厚约200nm的氮化硅隔离物。
如本文所使用,“在元件图案”中形成氧化物绝缘层和/或安置氧化物绝缘层通常是指在元件图案的各个突出部分和区域相邻处、下方和/或上方的基底的各个表面上形成/安置氧化物层。举例来说,参看图1A,安置在元件图案中的氧化物绝缘层可包含在小元件110和大元件114相邻处和下方的区域中安置在基底101的表面上的氧化物绝缘层106。
如图1A中所示,氧化物绝缘层的端部可具有特征性“鸟嘴”形状,这可由氧化物的热成长引起。然而,虽然通常认为热成长的氧化物层会在层的成长端处形成这种特征性鸟嘴形状,但应了解,在突出部分下方会合以隔离突出部分与基底的本发明的氧化物绝缘层(隔离层)的部分并非限于任何特定形状,只要它们在待隔离的元件区域(即,突出部分)下方接合。
对于较大的元件而言,例如元件114,且在不需要SOI结构的区域中,氧化物绝缘层106不会集结来隔离元件,因为在较大元件的情况下,元件的较大宽度防止了氧化物绝缘层在元件下的接合。如本文所使用,“较大元件”是指宽度大于1000nm的突出部分或区域。在不需要SOI结构的具有小元件的区域的情况下,可藉由形成于整个元件和基底相邻部分上的保护层来防止氧化物绝缘层在小元件下形成。因此,不需要SOI结构的大元件和区域不被氧化物绝缘层隔离。元件114的底部的区域116展示从元件的两侧形成的氧化物绝缘层106不会接合以形成隔离层的地方。
图1B是概括用于形成部分SOI结构(例如图1A中所示的SOI结构100)的示范性方法175的流程图。图2A至图6B是对根据用于形成部分SOI结构的示范性方法175的实施例的步骤的说明。
如图1B中所指示,根据所说明的本发明实施例的方法175包括步骤180,在单晶基底上形成元件图案,其中所述元件图案包括SOI区域和非SOI区域。可藉由(例如)微影和蚀刻技术或藉由直接写入蚀刻(direct-writeetching)技术来提供图案。大元件区域和非SOI区域可从原始晶片表面蚀刻或不蚀刻。
举例来说,参看图2A,根据本发明一个实施例的结构包含单晶基底201,其具有SOI元件区域202和非蚀刻的非SOI元件区域204。线205指示原始的晶片表面。举例来说,参看图2B,根据本发明一个实施例的结构包含单晶基底251,其具有SOI元件区域252和蚀刻的非SOI元件区域254。线255指示原始的晶片表面。
如图1B中所指示,方法175还包括步骤182,在SOI区域中的突出部分的侧壁上形成保护层。在本发明的一些实施例中,元件图案包含具有侧壁和上表面的突出部分。在SOI区域、非SOI区域或两者中的包含元件图案的突出部分可具有侧壁和上表面。可藉由(例如)保护层隔离物处理或保护层加盖处理(capping process)来形成保护层。不需要SOI的区域可由保留的保护层图案来保护。保护层可包含能够与单晶基底形成介面的任何材料,所述介面防止氧进入并接触基底。合适的保护层材料的实例包括但不限于氮化物和碳化物。举例来说,保护层可包含氮化硅和/或碳化硅。保护层,包括隔离物保护层、加盖保护层和保留的保护层,优选每一者都包含氮化硅。
参看图3A,说明根据本发明一个实施例的形成于元件图案中的突出部分的一个或一个以上侧壁上的隔离物保护层的实例。可(例如)经由可选的衬垫氧化物沈积、氮化硅沈积随后以氮化硅隔离物蚀刻来形成隔离物保护层。举例来说,可使用任何合适的薄膜沈积处理来沈积SiN的共形层。氮化硅可沈积在可选的衬垫氧化物层上。在SiN的薄膜沈积后,非等向性蚀刻技术可用于将SiN材料从基底定向地移除,留下SiN仅邻近突出部分的侧壁。衬垫氧化物层可沈积在硅与SiN之间,作为有助于减少材料之间的介面应力的缓冲物。举例来说,参看图3A,隔离物保护层320可形成于SOI元件302和/或非蚀刻的非SOI元件304上。保留的保护层324(例如包含SiN)还可形成于保留的非SOI区域303上。SOI元件302、保留的非SOI区域303和非SOI元件304从单晶基底301中形成。
举例来说,参看图3B,说明包含加盖保护层的保护层。根据本发明的一个实施例,使用加盖处理在SOI元件的侧壁上形成保护层可包括(例如)在待加盖的元件的相邻处沈积厚氧化物层、回蚀刻以填充邻近元件的空间、可选的衬垫氧化物沈积和SiN沈积,随后用氧化物蚀刻技术移除所述厚氧化物层。可使用所属领域中已知的或待开发任何技术用于这种沈积和蚀刻。举例来说,如图3B中所示,SiN盖360可形成于SOI元件352和非蚀刻的非SOI元件354上。SOI元件352和非SOI元件354从单晶基底351中形成。
再次参看图1B,方法175还包括步骤184,使用热氧化处理形成氧化物绝缘层。热氧化使氧化物绝缘层成长到元件区域的底部中且在保护层下形成氧化物绝缘层。当来自小元件两侧的氧化物层接合以在小元件下形成隔离层时,小元件区域变得与基底相隔离。
参看图4A,根据本发明一个实施例说明在具有图3A中所描绘的隔离物保护的结构上形成氧化物绝缘层的实例。举例来说,热氧化在SOI元件302和非SOI元件304上提供盖氧化物层420,且鸟嘴氧化物层422成长到SOI元件302的底部中。在小SOI元件302下,来自小SOI元件302的每一侧的鸟嘴氧化物层422的部分会合且相组合以在小SOI元件302下形成隔离层。在保留的非SOI区域303和非SOI元件304下,鸟嘴氧化物层422保持相离。保留的非SOI区域303和非SOI元件304并不与单晶基底隔离。
举例来说,参看图4B,根据本发明一个实施例说明在具有图3B中所描绘的盖保护的结构上形成氧化物绝缘层的实例。如图所示,热氧化提供成长到元件区域的底部中的鸟嘴氧化物层452。在小SOI元件352下,来自小SOI元件352的两侧的鸟嘴氧化物层452会合且相组合以在小SOI元件352下形成隔离层。鸟嘴氧化物层452在保持非隔离的非SOI元件354下保持相离。
再次参看图1B,方法175还包括步骤186,移除保护层,且进一步包括完成SOI处理。取决于整合关系,结构的顶部上的盖氧化物层可保留或移除。可(例如)藉由回蚀刻技术(例如,开口填充、微影,接着回蚀刻)或藉由化学机械技术(CMP)(例如,开口填充,接着CMP)来移除顶部上的盖氧化物层。
图5根据本发明实施例说明保护层的移除,且结构的顶部上的盖氧化物层保持完好。可使用包括湿式和干式蚀刻配方的合适的蚀刻技术来实现保护层的移除。如图所示,隔离物保护层320和保护层324(见图4A)已从小SOI元件区域302、保留的非SOI区域303和非SOI元件304区域移除。盖氧化物层420保持在小SOI元件302区域和非SOI元件304区域上的适当位置处。可使用选择性地移除保护层材料比移除氧化物材料的程度更大或更快的任何蚀刻技术和配方来执行保护层的移除,而不移除盖氧化物层。
图6A根据本发明一个实施例说明图5中所示的盖氧化物层420的部分移除。如图所示,盖氧化物层420的一部分已从非SOI元件304区域移除。可使用合适的图案化和蚀刻技术来执行盖氧化物层的部分移除,所述图案化和蚀刻技术包括(例如)微影技术和干式或湿式蚀刻。
图6B根据本发明实施例说明图5中所示的盖氧化物层420的完全移除。如图所示,盖氧化物层420已从小SOI元件302区域、保留的非SOI区域303和非SOI元件304区域完全移除。
图7根据本发明一个实施例说明位于SOI结构上的FinFET(场效应电晶体)的实例。如图所示,在SOI区域702上制成鳍状物710(即元件图案或突出部分)。在非SOI区域704上制成源极和汲极主动区域706。虽然图7为源极(S)描绘源极和汲极主动区域706的一个区域且为汲极(D)描绘描绘一个区域,但应了解,取决于所施加的电压,每个区域都可充当源极或汲极。可使用已知的或待开发的离子注入的任何合适方法来制备源极/汲极区域。SOI区域702和非SOI元件区域704从单晶基底701中形成。
图8根据本发明实施例说明应用于NAND记忆体阵列的本发明的示范性实施,其可利用SOI上的浮闸或SONOS(硅-氧化物-氮化物-氧化物-硅)电荷存储结构FinFET。在此实例中,NAND记忆体阵列包括鳍状物单元810、源极和汲极区域主动区域806、字线820和氧化物绝缘层822。鳍状物单元810配置在SOI元件区域802上。源极和汲极配置在非SOI区域804上。
图9A说明沿线9A-9A截取的图8的NAND记忆体阵列的横截面图。此图展示源极和汲极主动区域806、氧化物绝缘层822、字线820和可安置在字线820与鳍状物810之间的闸极介电材料926。安置在鳍状物810中的字线820下的通道区域930可使用与用于源极和汲极区域的掺杂剂相同类型的掺杂剂来掺杂,或使用不同类型的掺杂剂来掺杂,这取决于元件的操作模式。
图9B说明沿线9B-9B截取的图8的NAND记忆体阵列的横截面图。此图展示两个鳍状物之间的氧化物绝缘层822和字线820。
图9C说明沿线9C-9C截取的图8的NAND记忆体阵列的横截面图。此图展示在鳍状物810下形成鸟嘴氧化物层的氧化物绝缘层822,其充当闸极电介质926和字线820下的区域中的通道区域。
如上文所述,本发明可使用热氧化处理来在单晶晶片上形成部分SOI区域。SOI和非SOI元件两者都可根据此处理来形成。在SOI图案化程式中,可蚀刻或不蚀刻非SOI区域。隔离物处理或加盖处理可用于保护侧壁使其免被氧化。可藉由保留的保护层来保护不需要SOI的小图案。可藉由热氧化处理来控制绝缘体厚度。
因此,本发明提供便利且廉价的SOI形成方法,其不需要任何新的技术模组。另外,SOI元件可提供低漏电、低闸极寄生电容,且可避免闭锁问题。SOI元件还可提供可控的基底偏压和较佳的导热性。
尽管此说明书展示了与SOI上的FinFET和具有SOI上的浮闸或SONOSFinFET的NAND记忆体阵列相关的本发明的示范性应用,但所属领域的一般技术人员将了解,本发明具有很多其他可能的应用,例如罩幕ROM记忆体、快闪记忆体、动态随机存取记忆体(DRAM)、微处理器、晶片组和控制器。因此,本发明应被认为可广泛应用于需要部分SOI结构的任何元件中。
在描述本发明的代表性实施例的过程中,说明书可能已将本发明的方法和/或处理展示为特定顺序的步骤。然而,所述方法或处理不依赖本文所陈述的具有特定次序的步骤,所述方法或处理不应限于所述的特定顺序的步骤。如所属领域的一般技术人员将了解,可能有其他顺序的步骤。因此,说明书中所陈述的具有特定次序的步骤不应被理解为对权利要求书的限制。另外,针对本发明的方法和/处理的权利要求书不应限于以所写的次序来执行它们的步骤,且所属领域的技术人员可容易了解,所述顺序可改变,且仍在本发明的精神和范畴内。
虽然本发明已以实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视后附的申请专利范围所界定者为准。

Claims (30)

1、一种绝缘体上有硅的结构的制造方法,其特征在于其包含:
提供单晶硅基底,所述单晶硅基底的一部分上形成有元件图案,所述元件图案包含突出部分;
在所述突出部分的一部分上形成保护层;以及
使用热氧化处理,以在所述突出部分与所述单晶硅基底之间形成氧化物绝缘层。
2、根据权利要求1所述的绝缘体上有硅的结构的制造方法,其特征在于其中所述的突出部分具有侧壁,且形成所述保护层包含在所述侧壁的至少一部分上形成隔离物保护层。
3、根据权利要求2所述的绝缘体上有硅的结构的制造方法,其特征在于其中所述的形成所述隔离物保护层是经由包含氮化硅沈积和氮化硅隔离物蚀刻的处理来执行。
4、根据权利要求3所述的绝缘体上有硅的结构的制造方法,其特征在于其进一步包含在氮化硅沈积之前沈积衬垫氧化物层。
5、根据权利要求1所述的绝缘体上有硅的结构的制造方法,其特征在于其中所述的形成所述保护层包含在所述突出部分上形成氮化硅盖。
6、根据权利要求5所述的绝缘体上有硅的结构的制造方法,其特征在于其中所述的藉由包含沈积厚氧化物层、回蚀刻、氮化硅沈积和氧化物蚀刻来移除所述厚氧化物层的处理形成所述氮化硅盖。
7、根据权利要求6所述的绝缘体上有硅的结构的制造方法,其特征在于其进一步包含在氮化硅沈积之前沈积衬垫氧化物层。
8、根据权利要求2所述的绝缘体上有硅的结构的制造方法,其特征在于其中所述的形成所述保护层包含使用所述热氧化处理来形成盖氧化物层。
9、根据权利要求8所述的绝缘体上有硅的结构的制造方法,其特征在于其进一步包含移除所述盖氧化物层的至少一部分。
10、根据权利要求1所述的绝缘体上有硅的结构的制造方法,其特征在于其进一步包含移除所述保护层的至少一部分。
11、根据权利要求10所述的绝缘体上有硅的结构的制造方法,其特征在于其中所述的移除所述保护层的至少一部分包含回蚀刻处理和化学机械处理中的一者。
12、一种部分绝缘体上有硅的结构的制造方法,其特征在于其包含:
提供单晶硅基底,所述上单晶硅基底形成有元件图案其中所述元件图案包含非绝缘体上有硅区域和具有突出部分的绝缘体上有硅区域;
在所述突出部分的一部分上形成保护层;以及
使用热氧化处理在所述突出部分与所述单晶硅基底之间形成氧化物绝缘层。
13、根据权利要求12所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的非绝缘体上有硅区域包含非蚀刻的非绝缘体上有硅元件。
14、根据权利要求12所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的元件图案进一步包含具有非绝缘体上有硅突出部分的保留非绝缘体上有硅区域,且其中所述形成部分绝缘体上有硅结构的方法进一步包含在所述非绝缘体上有硅突出部分上形成保留保护层。
15、根据权利要求12所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的突出部分具有侧壁,且形成所述保护层包含在所述侧壁的至少一部分上形成隔离物保护层。
16、根据权利要求15所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的形成所述隔离物保护层是经由从由衬垫氧化物沈积、氮化硅沈积和氮化硅隔离物蚀刻组成的群组中选择的处理来执行。
17、根据权利要求12所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的形成所述保护层包含在所述突出部分上形成氮化硅盖。
18、根据权利要求17所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的藉由从由厚氧化物沈积和回蚀刻、衬垫氧化物沈积和氮化硅沈积和氧化物剥离处理组成的群组中选择的一者来形成所述氮化硅盖。
19、根据权利要求12所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的非绝缘体上有硅区域包含宽度大于1000nm的至少一个非绝缘体上有硅结突出部分。
20、根据权利要求12所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的形成所述保护层包含使用所述热氧化处理来形成盖氧化物层。
21、根据权利要求20所述的部分绝缘体上有硅的结构的制造方法,其特征在于其进一步包含移除所述盖氧化物层的至少一部分。
22、根据权利要求12所述的部分绝缘体上有硅的结构的制造方法,其特征在于其进一步包含移除所述保护层的至少一部分。
23、根据权利要求22所述的部分绝缘体上有硅的结构的制造方法,其特征在于其中所述的移除所述保护层的至少一部分包含回蚀刻处理和化学机械研磨处理中的一者。
24、一种部分绝缘体上有硅的结构,其特征在于其包含:
单晶硅基底,表面上安置有元件图案,所述元件图案包含非绝缘体上有硅区域和具有突出部分的绝缘体上有硅区域;以及
安置在所述元件图案中的氧化物绝缘层,其中所述氧化物绝缘层的一部分安置在所述突出部分下,使得所述突出部分与所述单晶基底相隔离,且其中所述非绝缘体上有硅区域不与所述单晶基底隔离。
25、根据权利要求24所述的部分绝缘体上有硅的结构,其特征在于其进一步包含安置在所述突出部分和所述非绝缘体上有硅区域的一部分中的至少一者上的盖氧化物层。
26、根据权利要求25所述的部分绝缘体上有硅的结构,其特征在于其中所述的盖氧化物层安置在所述非绝缘体上有硅区域的至少一部分上。
27、根据权利要求24所述的部分绝缘体上有硅的结构,其特征在于其中所述的非绝缘体上有硅区域包含宽度大于1000nm的非绝缘体上有硅突出部分。
28、根据权利要求24所述的部分绝缘体上有硅的结构,其特征在于其中所述的氧化物绝缘层包含热成长的氧化物。
29、一种由权利要求1所述的绝缘体上有硅的结构的制造方法获得的绝缘体上有硅的结构。
30、一种由权利要求12所述的部分绝缘体上有硅的结构的制造方法获得的部分绝缘体上有硅的结构。
CNB2007100035320A 2006-05-18 2007-02-06 绝缘体上有硅的结构及其制造方法 Active CN100555601C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/383,973 US7777275B2 (en) 2006-05-18 2006-05-18 Silicon-on-insulator structures
US11/383,973 2006-05-18

Publications (2)

Publication Number Publication Date
CN101075573A true CN101075573A (zh) 2007-11-21
CN100555601C CN100555601C (zh) 2009-10-28

Family

ID=38711240

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007100035320A Active CN100555601C (zh) 2006-05-18 2007-02-06 绝缘体上有硅的结构及其制造方法

Country Status (2)

Country Link
US (1) US7777275B2 (zh)
CN (1) CN100555601C (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012041035A1 (zh) * 2010-09-28 2012-04-05 中国科学院微电子研究所 一种闪存器件及其形成方法
CN103594513A (zh) * 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
CN107275217A (zh) * 2012-11-30 2017-10-20 意法半导体公司 具有隔离沟道的finfet器件
CN107591449A (zh) * 2016-07-08 2018-01-16 瑞萨电子株式会社 半导体器件及其制造方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070166971A1 (en) * 2006-01-17 2007-07-19 Atmel Corporation Manufacturing of silicon structures smaller than optical resolution limits
US20070166903A1 (en) * 2006-01-17 2007-07-19 Bohumil Lojek Semiconductor structures formed by stepperless manufacturing
US20080237684A1 (en) * 2007-03-26 2008-10-02 Michael Specht Method of manufacturing a nanowire transistor, a nanowire transistor structure, a nanowire transistor field
US7723786B2 (en) * 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US20090065956A1 (en) * 2007-09-11 2009-03-12 International Business Machines Corporation Memory cell
US7993815B2 (en) * 2007-09-11 2011-08-09 International Business Machines Corporation Line ends forming
US8059459B2 (en) 2007-10-24 2011-11-15 Zeno Semiconductor, Inc. Semiconductor memory having both volatile and non-volatile functionality and method of operating
US8362572B2 (en) 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8466012B1 (en) * 2012-02-01 2013-06-18 International Business Machines Corporation Bulk FinFET and SOI FinFET hybrid technology
US9269791B2 (en) * 2012-07-10 2016-02-23 United Microelectronics Corp. Multi-gate MOSFET with embedded isolation structures
CN103579335A (zh) * 2012-07-25 2014-02-12 联华电子股份有限公司 多栅极场效晶体管及其制作工艺
US8956942B2 (en) 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
US9202917B2 (en) * 2013-07-29 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Buried SiGe oxide FinFET scheme for device enhancement
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US9306069B2 (en) 2013-09-11 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of fin field effect transistor
US9093534B2 (en) 2013-07-29 2015-07-28 International Business Machines Corporation Dielectric filler fins for planar topography in gate level
US9496397B2 (en) 2013-08-20 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFet device with channel epitaxial region
US9159833B2 (en) 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9209202B2 (en) 2014-02-11 2015-12-08 Broadcom Corporation Enabling bulk FINFET-based devices for FINFET technology with dielectric isolation
US9524987B2 (en) * 2014-10-21 2016-12-20 United Microelectronics Corp. Fin-shaped structure and method thereof
US10084085B2 (en) 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
CN111435666A (zh) * 2019-01-11 2020-07-21 中国科学院上海微系统与信息技术研究所 图形化结构的soi衬底及其制备方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5159416A (en) * 1990-04-27 1992-10-27 Nec Corporation Thin-film-transistor having schottky barrier
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
US5773328A (en) 1995-02-28 1998-06-30 Sgs-Thomson Microelectronics, Inc. Method of making a fully-dielectric-isolated fet
US6034399A (en) * 1997-03-06 2000-03-07 Lockheed Martin Corporation Electrostatic discharge protection for silicon-on-insulator
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
JPH11307747A (ja) 1998-04-17 1999-11-05 Nec Corp Soi基板およびその製造方法
JP3325538B2 (ja) * 1999-04-06 2002-09-17 セイコーインスツルメンツ株式会社 半導体集積回路装置の製造方法
EP1049155A1 (en) 1999-04-29 2000-11-02 STMicroelectronics S.r.l. Process for manufacturing a SOI wafer with buried oxide regions without cusps
US6541356B2 (en) 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6630714B2 (en) 2001-12-27 2003-10-07 Kabushiki Kaisha Toshiba Semiconductor device formed in semiconductor layer arranged on substrate with one of insulating film and cavity interposed between the substrate and the semiconductor layer
JP2003243528A (ja) * 2002-02-13 2003-08-29 Toshiba Corp 半導体装置
JP2005072084A (ja) * 2003-08-28 2005-03-17 Toshiba Corp 半導体装置及びその製造方法
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012041035A1 (zh) * 2010-09-28 2012-04-05 中国科学院微电子研究所 一种闪存器件及其形成方法
US8878280B2 (en) 2010-09-28 2014-11-04 Institute of Microelectronics, Chinese Academy of Sciences Flash memory device and method for manufacturing the same
CN103594513A (zh) * 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
CN107275217A (zh) * 2012-11-30 2017-10-20 意法半导体公司 具有隔离沟道的finfet器件
CN107591449A (zh) * 2016-07-08 2018-01-16 瑞萨电子株式会社 半导体器件及其制造方法
CN107591449B (zh) * 2016-07-08 2022-05-10 瑞萨电子株式会社 半导体器件及其制造方法

Also Published As

Publication number Publication date
US20070267695A1 (en) 2007-11-22
CN100555601C (zh) 2009-10-28
US7777275B2 (en) 2010-08-17

Similar Documents

Publication Publication Date Title
CN101075573A (zh) 绝缘体上有硅的结构及其制造方法
US10978470B2 (en) Semiconductor device including multiple layers of memory cells, method of manufacturing the same, and electronic device including the same
US20200083041A1 (en) Method for Forming Stacked Nanowire Transistors
JP5291929B2 (ja) チャンネル膜を有する半導体装置の製造方法
TWI480982B (zh) 垂直記憶體單元
TWI621216B (zh) 製作具有絕緣體上覆矽基材之嵌入式記憶體裝置方法
WO2018058812A1 (zh) 存储器件及其制造方法及包括该存储器件的电子设备
US8048743B2 (en) Method for fabricating vertical channel type nonvolatile memory device
JP2003517208A (ja) 半導体材料の製造方法及びこの材料を用いた装置
CN1722439A (zh) 具多晶方向的cmos逻辑闸及其形成方法
CN1947261A (zh) 沟槽半导体器件及其制造方法
CN1661785A (zh) 场效应晶体管及其制造方法
US11289499B2 (en) Memory device, method of manufacturing the same, and electronic device including the same
TWI565037B (zh) 含絕緣體上覆矽基材之嵌入式記憶體裝置,及其製作方法
CN1812101A (zh) 互补金属氧化物半导体及其形成方法
JP4116007B2 (ja) 半導体装置及びその製造方法
CN1219329C (zh) 具有分离栅的自对准双栅金属氧化物半导体场效应晶体管
KR102409130B1 (ko) 반도체 디바이스 및 그 제조 방법
CN1152425C (zh) 制作具有垂直的mos晶体管的集成电路的方法
US20230337428A1 (en) Nor-type memory device, method of manufacturing nor-type memory device, and electronic apparatus including memory device
CN1613151A (zh) 半导体器件及其制造方法
CN1540742A (zh) 半导体装置及其制造方法
CN1591832A (zh) 低功率快擦写存储单元及方法
CN108962905B (zh) 存储器件及其制造方法及包括该存储器件的电子设备
CN1624921A (zh) 使用硅-硅直接晶片键合、在具有不同晶向的混合衬底上的cmos

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant