CN100524626C - 用于等离子体注入的蚀刻和沉积控制 - Google Patents

用于等离子体注入的蚀刻和沉积控制 Download PDF

Info

Publication number
CN100524626C
CN100524626C CN200580024912.1A CN200580024912A CN100524626C CN 100524626 C CN100524626 C CN 100524626C CN 200580024912 A CN200580024912 A CN 200580024912A CN 100524626 C CN100524626 C CN 100524626C
Authority
CN
China
Prior art keywords
plasma
injection
etching
substrate
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200580024912.1A
Other languages
English (en)
Other versions
CN101015041A (zh
Inventor
维克拉姆·辛格
哈罗德·佩辛
蒂莫西·米勒
阿图尔·古普塔
方子伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN101015041A publication Critical patent/CN101015041A/zh
Application granted granted Critical
Publication of CN100524626C publication Critical patent/CN100524626C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Abstract

一种用于衬底的离子注入的方法,包括:由至少一种包括至少一种注入物质的注入材料形成等离子体,将所述至少一种注入物质注入到衬底的表面中,并将至少一种表面修饰物质导向到所述表面来减小与等离子体相关联的表面损坏,其中形成步骤包括由至少一种注入材料和至少一种包括至少一种表面修饰物质的表面修饰材料形成等离子体,其中至少一种注入材料和至少一种表面修饰材料是气体,并且该方法还包括在形成等离子体之前将痕量的至少一种表面修饰材料与至少一种注入材料混合,其中表面损坏包括对表面的蚀刻,其中至少一种表面修饰物质包括至少一种表面钝化物质,并且导向步骤包括在表面上形成包括至少一种表面钝化物质的蚀刻屏障来减小对表面的蚀刻。

Description

用于等离子体注入的蚀刻和沉积控制
技术领域
本发明涉及用于材料处理的离子注入,更具体地说,涉及用于制造基于半导体的器件的掺杂剂的等离子体注入的方法和装置。
背景技术
向半导体添加杂质来控制半导体的电特性的过程被称作“掺杂”,并且合适的杂质被称作掺杂剂。一些早期掺杂技术涉及要么在衬底生长期间加入掺杂剂,要么将掺杂剂从与衬底接触的气相或固相材料扩散到衬底中。基于扩散的技术涉及用以获得衬底中令人满意的掺杂剂扩散速率的提高的温度。
离子注入技术是适应于更准确地控制掺杂剂的空间均匀性和浓度的需要而开发的。典型的离子注入器在离子源中将掺杂剂离子化,掺杂剂离子被质量选择并且被加速以形成规定能量的离子束,并且离子束被导向到晶片或其他衬底的表面。离子束中的高能离子可以穿透大部分半导体晶片,并嵌入到半导体材料的晶格中,以形成所需电导率的区。在注入之后,晶片典型地必须被退火,以激活注入的掺杂剂,即,使掺杂剂电活动。
离子注入系统通常包括将气体或固体材料转换成精确限定的离子束的离子源。注入器对离子束进行质量分析来消除不需要的物质,将所需物质加速到所需能量,并将离子束导向衬底的目标区域。通过例如射束扫描、目标移动或者将射束扫描和目标移动相组合,离子束可遍及目标区域而分布。注入器从而可以精确地控制掺杂剂物质、掺杂剂离子注入能量和掺杂剂位置。然而,遗憾地是,典型的离子束注入器是一种复杂和昂贵的机器,并且可能具有有限的处理量。
适应于当前的浅结形成的趋势,技术专家已认识到,典型的离子束注入器在低能射束条件下提供低射束流。例如,在浅结形成可能需要的低于10keV的能量下,晶片处理量可能下降。适应于对较低成本的并且在较低能量下具有较高处理量的注入的需要,已提出诸如等离子体浸没离子注入(PIII)的等离子体注入技术作为一种解决方案。在等离子体注入中,衬底和等离子体典型地共享工艺室。衬底被暴露于邻近的等离子体,这在较低能量下提供例如高剂量率的掺杂剂注入。等离子体注入还可以利用相对便宜的设备来实施。
等离子体注入可以利用连续或间歇等离子体。在一种利用间歇等离子体的等离子体掺杂系统中,半导体晶片被置于位于等离子体掺杂室内、用作阴极的导电台板上。包含所需掺杂剂材料的可离子化气体被引入到该室中,并且电压脉冲被施加在台板和阳极之间以形成在晶片附近具有等离子体鞘的辉光放电等离子体。所施加的电压脉冲使得等离子体中的离子穿过等离子体鞘并且被注入到晶片中。注入深度与施加在晶片和阳极之间的电压相关。可以实现非常低的注入能量。
在涉及等离子体中的浸没的PIII中,典型地施加连续或脉冲射频(RF)电压以产生连续或脉冲等离子体。每隔一段时间,将高电压脉冲施加到台板以使得等离子体中的正掺杂剂离子朝向晶片加速。可施加负电压脉冲以从等离子体中提取带正电的掺杂剂原子,并将离子注入到晶片中。
不同于离子束注入,PIII和其他等离子体注入技术倾向于除了注入所需掺杂剂物质以外还注入其他等离子体离子化的物质。此外,由于衬底被暴露于等离子体中性物,用于特定注入工艺的特定化学和工作条件导致可能发生非期望的沉积和/或蚀刻。例如,在利用BF3作为掺杂剂气体时,与氟有关的等离子体组分可能导致非期望的蚀刻。这些效应可以通过适当地选择诸如功率水平、气压和气体流量的工艺参数而减小。但是,对工艺参数进行控制的需求可能限制了获得令人满意的工艺窗。
发明内容
本发明部分是为了实现将经受等离子体掺杂的表面暴露于可减小非期望的蚀刻和/或减小沉积物的积累的表面修饰物质。表面修饰物质可提供保护表面屏障和/或蚀刻来自衬底表面的沉积物。例如,可以将痕量气体添加到被供给到等离子体的掺杂剂气体。痕量气体可以被选择为提供可以使表面钝化以保护表面免受蚀刻的物质和/或提供可以引起表面沉积物的去除的物质。可以将本发明的特征应用于例如等离子体掺杂工具,例如将衬底暴露于脉冲或连续等离子体的工具。钝化物质可以例如是与衬底的表面原子形成化合物或者接合到表面的物质。去除表面沉积物的蚀刻物质可以例如是化学蚀刻和/或溅射蚀刻非期望的沉积物的物质。
因此,在第一方面,本发明特征在于一种用于衬底的诸如等离子体掺杂的等离子体注入的方法。该方法包括:由一种或多种注入材料形成等离子体,将一种或多种注入物质注入到衬底的表面中,并将一种或多种表面修饰物质导向到该表面来减少与等离子体相关联的表面损坏,其中形成步骤包括由至少一种注入材料和至少一种包括至少一种表面修饰物质的表面修饰材料形成等离子体,其中至少一种注入材料和至少一种表面修饰材料是气体,并且该方法还包括在形成等离子体之前将痕量的至少一种表面修饰材料与至少一种注入材料混合,其中表面损坏包括对表面的蚀刻,其中至少一种表面修饰物质包括至少一种表面钝化物质,并且导向步骤包括在表面上形成包括至少一种表面钝化物质的蚀刻屏障来减小对表面的蚀刻。注入材料可以提供至少一种掺杂剂物质,并且表面修饰材料可以提供一种或多种表面修饰物质。衬底可以例如被浸没在等离子体中或者靠近等离子体而放置,以提供来自等离子体的物质的注入,并且等离子体可由注入材料和表面修饰材料二者来形成。
表面损坏可以例如与等离子体所引起的表面蚀刻和/或表面沉积相关联。表面修饰物质可以提供表面的钝化,或者可以支持非期望的表面沉积物的蚀刻。钝化可以通过例如形成表面屏障来提供,表面屏障可以包括例如接合到表面的原子或分子和/或反应表面层。蚀刻可以例如与化学和/或物理蚀刻相关联。
在第二方面,本发明特征在于一种用于离子注入的装置。该装置包括容纳等离子体和一个或多个可浸没在等离子体中的衬底的容器。该装置还包括一个或多个注入材料源和一个或多个表面修饰材料源,它们向容器中的等离子体供给材料。该装置包括一个或多个材料供给控制单元,材料供给控制单元控制供给到等离子体的注入材料和表面修饰材料的混合。
附图说明
附图并非意图按比例绘制。在附图中,各个图中所示的每个相同或者基本相同的部件由相似标号表示。为了清楚起见,在每个附图中,不是每个部件都被标记。在附图中:
图1是根据本发明原理用于衬底的离子注入的方法的实施例的流程图;
图2是根据本发明原理用于离子注入的装置的实施例的截面视图。
具体实施方式
本发明就其应用而言不局限于在附图中所示或者在下面的描述中阐述的部件的结构和布置的细节。本发明能够有其他实施方式,并且能够以各种方式实践或执行。而且,这里所用措辞和术语仅用于描述而不应视为限定。这里使用“包括(including)”、“包括(comprising)”或“具有(having)”、“包含(containing)”、“涉及(involving)”和它们的变体意味着包括其后列出的项目、它们的等同设置以及附加项目。
这里广义地使用词语“等离子体”,来指示可包括电子、原子或分子离子、原子或分子自由基(radical)物质(即,活化的中性物)、以及中性原子和分子中的任意一些或全部的类似气体的相。等离子体典型地具有近似为零的净电荷。等离子体可以通过例如离子化和/或离解事件来由一种或多种材料形成,其中所述事件又可由具有电感和/或电容耦合的电源激励。
这里所使用的短语“等离子体注入”是指在没有传统射束注入器的质量选择特征的情况下利用来自等离子体的注入的注入技术。等离子体注入器典型地将衬底和等离子体定位在同一个室内。等离子体因此可以接近衬底或使衬底浸没。典型地,来自等离子体的各种物质类型将被注入到衬底中。
图1是根据本发明原理用于衬底的离子注入的方法100的实施例的流程图。方法100包括由至少一种注入材料形成等离子体(步骤110),注入(步骤120)来自等离子体的至少一种注入物质,并将至少一种表面修饰物质导向到表面(步骤130)以减小与等离子体相关联的表面损坏。
所述至少一种注入材料可以例如是提供一种或多种掺杂剂物质的任何材料。该一种或多种掺杂剂物质然后可以被注入(步骤120)到衬底中,该衬底例如是基于硅的衬底。一些合适的掺杂剂材料包括例如AsH3、PH3、BF3、AsF5、PF3、B5H9和B2H6
下面对注入材料BF3的性能的描述说明了本发明的原理。离子注入领域的技术人员将理解,所描述的实例是非限定性的,并且本发明的原理可以应用于大范围的注入材料和注入物质。
由BF3形成的等离子体除了可以包括未激发的BF3和其他分子和原子以外,还可以包括例如BF3、BF2、BF、B和F的自由基,BF2、BF、B和F的正离子、以及电子。这种等离子体典型地包括作为主要组分的气体和蚀刻产物分子、较少的自由基组分和少得多的离子和电子组分。B离子以及等离子体中的其他离子可以通过例如等离子体浸没注入或其他等离子体注入方法来被注入(步骤120)。
对于等离子体注入,等离子体既可以用作所需B注入物质的源,还可以导致典型的基于氟的反应性离子蚀刻。一般而言,反应性自由基如自由基F原子可以对衬底的蚀刻有贡献。其他自由基如BF2、BF、B的自由基和自由基簇可以对衬底表面上的沉积有贡献。诸如BF3、BF2、BF、B和F的离子可以对将离子注入到衬底中有贡献,并且可以对衬底的溅射蚀刻有贡献。
化学蚀刻可以例如由自由基F原子与衬底中的硅或沉积在表面上的包含B的组分发生反应以分别形成SiF4或BF3所引起。这些反应产物可能是挥发性的并因此可能从衬底表面逃逸。此外,例如由于F自由基的吸附和诸如上述SiF4或BF3的反应副产物的解吸附的帮助,来自等离子体的离子可增强蚀刻。
此外,非挥发性材料对表面的离子轰击可以使表面暴露于新的化学侵袭。当非挥发性材料的沉积、如由自由基如BF2、BF、B的自由基和自由基簇引起的沉积发生时,沉积副产物可能积累在衬底表面上。
为了减轻与B注入以及其它注入物质的注入相关联的蚀刻和/或沉积效应,一种或多种表面修饰物质被导向到表面(步骤130),以使该表面钝化以免受蚀刻侵袭和/或去除沉积材料。表面修饰物质可以从表面修饰材料得到。此外,等离子体可以由一种或多种注入材料(步骤101)和由一种或多种表面修饰材料(步骤102)形成(步骤110),以提供来自等离子体的注入物质和表面修饰物质。例如,可以在将气态表面修饰材料添加到气态注入材料之后,将该混合气体供给到用于等离子体注入(步骤120)的等离子体。一种或多种表面修饰物质然后可以从等离子体被导向到衬底(步骤130),以减小否则会由借助于等离子体注入的注入(步骤120)所引起的表面的蚀刻或沉积损坏。
例如,表面修饰材料可以是表面钝化材料,该表面钝化材料提供可以减小蚀刻损坏的表面钝化物质。表面钝化材料可以例如是N2、O2、SiH4、SiF4、四乙氧基硅烷(Tetraethoxysilane)、CxHy或CxHyOz。这些材料可以提供可以从等离子体被导向到表面的表面钝化物质,如B、C、Si、N和O。表面钝化物质可以附着到衬底或者与衬底反应来形成蚀刻屏障。蚀刻屏障可以通过阻挡蚀刻前驱物质(precursor)侵袭衬底表面和去除(蚀刻)表面材料,来阻碍对衬底表面的侵袭。
屏障可由附着到衬底表面的物质,例如附着到硅衬底表面的B、Si和/或C来形成。屏障可由与该表面反应的物质,例如在硅衬底表面上形成SiO2的O和/或形成Si3N4的N来形成。蚀刻屏障可以保护该表面免受例如由基于BF3的等离子体所产生的自由基F的侵袭。
如上所述,表面修饰材料可以是提供蚀刻物质的蚀刻材料,该蚀刻物质可以蚀刻已沉积在衬底表面上的等离子体副产物。蚀刻材料可以例如是化学蚀刻材料和/或溅射蚀刻材料。例如,化学蚀刻材料可以是H2、NH3、NF3、F2和CxFxHz。这些材料可以提供可以从等离子体被导向到表面的化学蚀刻物质,如H、F和Cl。这些反应性物质可以通过例如与沉积的材料形成挥发性化合物来与沉积的材料相组合以帮助去除这些材料。例如,H、F和Cl可以对从BF2、BF、B的自由基或自由基簇得到的沉积物进行化学侵袭。
溅射蚀刻材料可以例如是惰性气体,例如He、Ne、Ar或Xe。氩离子例如可以从浸没或者邻近的等离子体被导向到样品表面来对样品表面上沉积物进行溅射蚀刻。
在本发明的一些实施例中,在例如约1毫托到约50毫托范围内的压力下,气体被供给到等离子体。可以以例如每分钟约5个标准立方厘米(sccm)到约5000sccm范围内的流量来供给注入气态材料。可以以例如约0.1sccm到约500sccm范围内的流量来供给表面修饰气态材料。由气体形成的等离子体可以在例如约100瓦到约5000瓦范围内的功率下工作。
现在参考图2,本发明的一些实施例涉及用于诸如等离子体掺杂的等离子体注入的装置。图2是可以用来例如执行上述方法100的装置200的实施例。装置200包括可以容纳等离子体310和一个或多个可暴露于等离子体的衬底320的容器210。装置200还包括一个或多个注入材料源220、一个或多个表面修饰材料源230、流量控制器250和一个或多个材料供给控制单元240。
材料源220、230将材料供给到容器210以便形成并维持等离子体。流量控制器250调节来自源220和230的材料的流量,以控制例如递送到容器210的气态材料的压力。材料供给控制单元240被配置成通过与流量控制器250相通来控制例如被供给到容器210的注入材料和表面修饰材料的混合。因此,根据上面关于方法100而描述的本发明的原理,装置200可以用来例如对衬底进行等离子体掺杂,同时减小由于与等离子体相关联的非期望的沉积或蚀刻而导致的衬底损坏。
已描述了本发明的至少一个实施例的多个方面,但是本领域技术人员将清楚各种替换、修改和改进。这种替换、修改和改进是本公开的一部分,并且落入本发明的精神和范围内。因此,前面的说明和附图仅用作示例。

Claims (15)

1.一种用于衬底的离子注入的方法,该方法包括:
由至少一种包括至少一种注入物质的注入材料形成等离子体;
通过等离子体注入将所述至少一种注入物质注入到所述衬底的表面中;并且
将至少一种表面修饰物质导向到所述表面以减小与所述等离子体相关联的表面损坏,
其中形成步骤包括由所述至少一种注入材料和至少一种包括所述至少一种表面修饰物质的表面修饰材料形成所述等离子体,
其中所述至少一种注入材料和所述至少一种表面修饰材料是气体,并且该方法还包括在形成所述等离子体之前将痕量的所述至少一种表面修饰材料与所述至少一种注入材料混合,
其中所述表面损坏包括对所述表面的蚀刻,
其中所述至少一种表面修饰物质包括至少一种表面钝化物质,并且导向步骤包括在所述表面上形成包括所述至少一种表面钝化物质的蚀刻屏障来减小对所述表面的蚀刻。
2.如权利要求1的方法,其中所述至少一种钝化物质包括至少一种从包括B、C、Si、N和O的组中选择的元素。
3.如权利要求1的方法,还包括由至少一种从包括N2、O2、SiH4、SiF4、四乙氧基硅烷、CxHy和CxHyOz的组中选择的材料得到所述至少一种表面钝化物质。
4.如权利要求1的方法,其中所述表面损坏包括所述表面上的沉积。
5.如权利要求4的方法,其中所述至少一种表面修饰物质包括至少一种蚀刻物质,并且导向步骤包括使得所述至少一种蚀刻物质对所述表面沉积物的至少一部分进行蚀刻。
6.如权利要求5的方法,其中所述至少一种蚀刻物质与至少一种化学蚀刻材料相关联。
7.如权利要求6的方法,其中所述至少一种化学蚀刻材料是从包括N2、NH3、NF3、F2和CxFxHz的组中选择的。
8.如权利要求5的方法,其中所述至少一种蚀刻物质与至少一种溅射材料相关联。
9.如权利要求8的方法,其中所述至少一种溅射材料是从包括惰性气体的组中选择的。
10.如权利要求4的方法,其中所述沉积包括至少一种与形成所述等离子体和注入所述至少一种注入物质相关联的副产物。
11.如权利要求1的方法,其中注入和导向至少部分同时发生。
12.如权利要求1的方法,其中所述至少一种注入材料包括至少一种掺杂剂物质。
13.如权利要求12的方法,其中所述掺杂剂物质是从包括B、P、As和Sb的组中选择的。
14.如权利要求13的方法,其中所述至少一种注入材料包括至少一种从包括AsH3、PH3、BF3、AsF5、PF3、B5H9和B2H6的组中选择的材料。
15.如权利要求1的方法,其中所述等离子体是从包括辉光等离子体和RF等离子体的组中选择的一种。
CN200580024912.1A 2004-06-23 2005-06-21 用于等离子体注入的蚀刻和沉积控制 Expired - Fee Related CN100524626C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/874,944 US20050287307A1 (en) 2004-06-23 2004-06-23 Etch and deposition control for plasma implantation
US10/874,944 2004-06-23

Publications (2)

Publication Number Publication Date
CN101015041A CN101015041A (zh) 2007-08-08
CN100524626C true CN100524626C (zh) 2009-08-05

Family

ID=35506142

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580024912.1A Expired - Fee Related CN100524626C (zh) 2004-06-23 2005-06-21 用于等离子体注入的蚀刻和沉积控制

Country Status (5)

Country Link
US (1) US20050287307A1 (zh)
JP (1) JP2008504687A (zh)
CN (1) CN100524626C (zh)
TW (1) TW200610035A (zh)
WO (1) WO2006002138A2 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115591A1 (en) * 2004-11-29 2006-06-01 Olander W K Pentaborane(9) storage and delivery
AU2006294993B2 (en) * 2005-09-22 2011-12-01 Skaff Corporation Of America, Inc. Plasma boriding method
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
US20080029305A1 (en) * 2006-04-20 2008-02-07 Skaff Corporation Of America, Inc. Mechanical parts having increased wear resistance
KR100843231B1 (ko) * 2007-01-23 2008-07-02 삼성전자주식회사 플라즈마 도핑방법
US8029875B2 (en) * 2007-05-23 2011-10-04 Southwest Research Institute Plasma immersion ion processing for coating of hollow substrates
US9175381B2 (en) * 2008-07-09 2015-11-03 Southwest Research Institute Processing tubular surfaces using double glow discharge
WO2010021326A1 (ja) * 2008-08-19 2010-02-25 リンテック株式会社 成形体、その製造方法、電子デバイス部材および電子デバイス
KR101489326B1 (ko) * 2008-09-09 2015-02-11 삼성전자주식회사 기판의 처리 방법
WO2010051266A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Improving the conformal doping in p3i chamber
JP5379530B2 (ja) 2009-03-26 2013-12-25 リンテック株式会社 成形体、その製造方法、電子デバイス用部材および電子デバイス
CN102439077B (zh) 2009-05-22 2014-12-10 琳得科株式会社 成型体、其制造方法、电子设备用构件和电子设备
US8679960B2 (en) * 2009-10-14 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate having a non-planar surface
US20130058024A1 (en) * 2010-03-29 2013-03-07 Lintec Corporation Formed article, method for producing the same, electronic device member, and electronic device
JP5697230B2 (ja) 2010-03-31 2015-04-08 リンテック株式会社 成形体、その製造方法、電子デバイス用部材及び電子デバイス
CN103249767B (zh) 2010-08-20 2015-05-06 琳得科株式会社 成形体、其制备方法、电子装置用部件及电子装置
TWI457235B (zh) 2010-09-21 2014-10-21 Lintec Corp A gas barrier film, a manufacturing method thereof, an electronic device element, and an electronic device
TWI535561B (zh) 2010-09-21 2016-06-01 Lintec Corp A molded body, a manufacturing method thereof, an electronic device element, and an electronic device
US8753725B2 (en) 2011-03-11 2014-06-17 Southwest Research Institute Method for plasma immersion ion processing and depositing coatings in hollow substrates using a heated center electrode
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8871528B2 (en) 2011-09-30 2014-10-28 HGST Netherlands B.V. Medium patterning method and associated apparatus
US9121540B2 (en) 2012-11-21 2015-09-01 Southwest Research Institute Superhydrophobic compositions and coating process for the internal surface of tubular structures

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63119527A (ja) * 1986-11-07 1988-05-24 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
JPH02159028A (ja) * 1988-12-13 1990-06-19 Matsushita Electric Ind Co Ltd プラズマによる固体表面付着物の除去方法
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP2919254B2 (ja) * 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5897346A (en) * 1994-02-28 1999-04-27 Semiconductor Energy Laboratory Co., Ltd. Method for producing a thin film transistor
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
JPH1154451A (ja) * 1997-08-07 1999-02-26 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
JPH11214320A (ja) * 1998-01-20 1999-08-06 Handotai Process Kenkyusho:Kk 半導体層への不純物領域形成方法及び半導体層への不純物導入装置
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6020592A (en) * 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
JP2001203327A (ja) * 2000-01-21 2001-07-27 Sony Corp 容量素子と抵抗素子とを有する電子部材の製造方法、半導体装置の製造方法、及び半導体装置
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
JP3942902B2 (ja) * 2001-01-26 2007-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7494904B2 (en) * 2002-05-08 2009-02-24 Btu International, Inc. Plasma-assisted doping
KR20050034731A (ko) * 2002-08-02 2005-04-14 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 희석 가스 스퍼터링에 의한 플라즈마 증착 표면층의 제거
JP4544447B2 (ja) * 2002-11-29 2010-09-15 パナソニック株式会社 プラズマドーピング方法

Also Published As

Publication number Publication date
WO2006002138A3 (en) 2006-04-06
WO2006002138A2 (en) 2006-01-05
JP2008504687A (ja) 2008-02-14
CN101015041A (zh) 2007-08-08
US20050287307A1 (en) 2005-12-29
TW200610035A (en) 2006-03-16

Similar Documents

Publication Publication Date Title
CN100524626C (zh) 用于等离子体注入的蚀刻和沉积控制
CN103109342B (zh) 用于等离子体处理衬底的技术
EP2483906B1 (en) Method for ion source component cleaning
KR101508994B1 (ko) 실리콘과 질소를 모두 함유하는 물질들의 건식 식각 레이트의 선택적인 억제
JP2021009842A (ja) イオン注入システム及びその場(in situ)プラズマクリーニング方法
US7397048B2 (en) Technique for boron implantation
US6093625A (en) Apparatus for and methods of implanting desired chemical species in semiconductor substrates
US20050260354A1 (en) In-situ process chamber preparation methods for plasma ion implantation systems
KR20130138813A (ko) 게르마늄 및 붕소 이온 주입들을 위한 co-가스의 실행
CN101903981A (zh) 从基板移除表面掺杂
US20120235058A1 (en) Method for extending lifetime of an ion source
TWI659456B (zh) 在非質量分析離子佈植系統中的離子束品質的改進方法
JP2023548015A (ja) アルミニウムイオンビームを発生させるためのソース材料としてジメチルアルミニウムクロリドを流す場合におけるフッ素系分子共ガス
KR20090127366A (ko) 반도체 디바이스용 극저 접합 형성 방법
KR100428619B1 (ko) 기판내에저선량의이온을주입하는방법및장치
WO2004013371A2 (en) Method and apparatus for plasma implantation without deposition of a layer of byproduct
CN106611690A (zh) 减少或防止在离子注入机的离子源内形成沉积物的方法
TWI789630B (zh) 用於離子植入的電漿浸漬方法
JP6412573B2 (ja) ワークピースを処理する方法
KR20160024749A (ko) 이온 주입방법과 이온 주입기
Pinter et al. Plasma immersion ion implantation for shallow junctions in silicon
KR20230095986A (ko) 알루미늄 이온 빔을 생성하기 위한 질화 알루미늄 또는 산화 알루미늄 에칭
KR20070032342A (ko) 플라즈마 주입을 위한 에칭 및 침전 제어

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090805

Termination date: 20130621