JP2008504687A - プラズマ注入のためのエッチングおよび付着制御 - Google Patents

プラズマ注入のためのエッチングおよび付着制御 Download PDF

Info

Publication number
JP2008504687A
JP2008504687A JP2007518194A JP2007518194A JP2008504687A JP 2008504687 A JP2008504687 A JP 2008504687A JP 2007518194 A JP2007518194 A JP 2007518194A JP 2007518194 A JP2007518194 A JP 2007518194A JP 2008504687 A JP2008504687 A JP 2008504687A
Authority
JP
Japan
Prior art keywords
species
plasma
implantation
etching
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007518194A
Other languages
English (en)
Inventor
シン、ヴィクラム
パーシン、ハロルド
ミラー、ティモシー
グッパ、アツール
ファン、ツィウェル
Original Assignee
バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド filed Critical バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Publication of JP2008504687A publication Critical patent/JP2008504687A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】基板へのイオン注入方法が、少なくとも一つの注入種を含む少なくとも一つの注入材料からプラズマを形成する形成工程と、基板の表面に少なくとも一つの注入種を注入する注入工程と、プラズマに関連した表面へのダメージを減少させるために表面に少なくとも一つの表面改変種を向ける工程とを含む。注入装置はこの方法を実施するものである。

Description

本発明は材料処理のためのイオン注入に関し、特に、半導体デバイス製造のためのドーパントのプラズマ注入のための方法および装置に関する。
半導体の電気的特性を制御するために半導体に不純物を添加する処理は、“ドーピング”として知られ、適切な不純物はドーパントとして知られている。初期のドーパント技術は、基板の成長の間、または基板と接するガス状または固体状材料から基板へとドーパントの拡散の間に、ドーパントの取り入れを行うことに関する。拡散技術は、基板への十分なドーパント拡散を得るための高い温度に関連する。
イオン注入技術は、ドーパントの空間一様性および濃度についてより正確な制御という要求に応えて開発された。典型的なイオン注入器は、イオン源でドーパントをイオン化し、ドーパントイオンは質量選択され、所定のエネルギーのイオンビームを形成するために加速され、イオンビームは半導体または他の基板の表面に向けられる。ビーム内の活性イオンは半導体材料のバルクに侵入し、所望の伝導性の領域を形成するべく半導体材料の結晶格子内に埋め込まれる。ウエハは典型的に、注入されたドーパントを活性化するために、すなわちドーパントを電気的に活性化するために注入後にアニールされなければならない。
イオン注入システムは通常、ガスまたは固体状材料を良く画成されたイオンビームに変換するためのイオン源を含む。注入器は、不要な種を除去するためにイオンビームを除去するために質量分析し、必要な種を必要なエネルギーをもつように加速し、基板のターゲット領域にビームを向ける。ビームは、ターゲット領域にわたって、たとえば走査により、ターゲットの移動により、またはビームの走査とターゲットの移動との組み合わせにより分布させることができる。イオン注入器はドーパント種、ドーパント注入エネルギーおよびドーパント位置を正確に制御することができる。しかし、残念ながら、イオン注入器は複雑で、高価であり、スループットに限界がある。
浅い接合の形成の際の電流の傾向に応答して、典型的なイオンビーム吸入器が低エネルギーの条件で低ビーム電流を与えるということが認識されていた。たとえば、浅い接合の形成に必要となる10keVのエネルギーでは、半導体スループットは悪くなる。低エネルギーで、コストが低くスループットが高い注入の必要性に応じて、プラズマイマージョンイオ注入(PIII)のようなプラズマ注入技術がこの問題を解決するものとして提案された。プラズマ注入では、基板およびプラズマが典型的に、プロセスチャンバを分けている。基板は隣接したプラズマに晒され、たとえば、低エネルギーでかつ高ドーズレートでドーパントの注入を受ける。プラズマ注入はまた、比較的高価ではない設備で実施される。
プラズマ注入は、連続的または断続的なプラズマを利用する。プラズマドーピングシステムの典型的なもの(断続的なプラズマを利用するもの)では、半導体ウエハが、プラズマドーピングシステムに配置される伝導性プラテン(カソードとして機能する)上に配される。必要なドーパント材料を含むイオン化可能なガスがチャンバ内に導入され、電圧パルスが、ウエハの近傍でプラズマシースを有するグロー放電プラズマを形成するために、プラテンと陽極との間に適用される。適用された電圧パルスにより、プラズマ中のイオンはプラズマシースを横切りウエハ内に注入される。注入の深さは、ウエハと陽極との間に適用される電圧に関連する。非常に低い中エネルギーで実施できる。
プラズマ中に浸漬の必要があるPIIIでは、連続的またはパルス状のRF電圧が典型的に、連続またはパルス状のプラズマを生成するために適用される。間隔を置いて、高電圧パルスがプラテンに適用され、プラズマ中の正のドーパントイオンがプラズマへと加速される。負の電圧パルスがプラズマからの正の電荷をもつドーパント原子を引きつけるために適用され、イオンをウエハに注入する。
イオンビーム注入と異なり、PIIIおよび他のプラズマ注入技術は、必要なドーパント種に加え他のプラズマイオン化された種も注入する傾向をもつ。さらに、不必要な付着および/またはエッチングが、基板がプラズマ中の中性のものに晒されるために、特定のイオン注入プロセスに役立つ特定の化学反応および動作条件で、生ずる。たとえば、ドーパントガスとしてBFが使用されると、フッ素に関連したプラズマ要素が望ましくないエッチングをなす。このような効果は、電力レベル、ガス圧、ガス流量のようなプロセスパラメータを適切に選択することにより減少させることができる。しかし、プロセスパラメータを制御する必要性は、満足のいくプロセスをとはならない。
本発明は、プラズマドーピングを受ける表面が、望ましくないエッチングを減少させ、さらに/または付着の累積を減少させる表面改変種に晒されることを実現化するものである。表面改変種は保護表面障壁を与えおよび/または基板の表面から付着物をエッチングすることができるものである。たとえば、微量ガスがプラズマに供給されるドーパントガスに添加され得る。微量ガスは、基板の表面がエッチングされるのを防止するためにその表面を不活性化する種、および/または表面の付着を除去できる種から選択される。たとえば、本発明の特徴は、プラズマドーピングツール、たとえばパルス化したまたは連続したプラズマに基板を晒すツールに適用され得る。不活性化種はたとえば、基板の表面と結合し、または基板の表面原子と化合物を形成するものである。表面の付着物を除去するエッチング種はたとえば、化学的にエッチングし、さらに/または望ましくない付着物をスパッタエッチングするものである。
第一の態様として、本発明は、基板へのプラズマドーピングのようなプラズマ注入の方法に関する。本方法は、一つ以上の注入材料からプラズマを形成し、一つ以上の注入材料を基板の表面に注入し、プラズマと関連した基板の表面ダメージを減少させるために、一つ以上の改変種を基板の表面に向けることを含む。注入材料は少なくとも一つのドーパント種を提供し、表面改変材料は、一つ以上の表面改変種を提供する。基板はたとえば、プラズマからの注入種を与えるために、プラズマに浸漬され、またはプラズマの近傍に配置され、プラズマは注入材料および表面改変材料の両方から形成され得る。
表面のダメージは、たとえば、表面のエッチングおよび/またはプラズマにより生じた表面付着に関連する。表面改変種は、表面の不活性化しまたは望ましくない表面付着をスパッタエッチングすることができる。不活性化は、たとえば、表面と結合した原子または分子および/または反応した表面層のような表面障壁の形成である。エッチングはたとえば、化学的なエッチングおよび/物理的なエッチングに関連する。
第二の態様として、本発明はイオン注入の装置に関する。本装置は、プラズマおよびプラズマ内に浸漬される一つ以上の基板を含む容器を有する。装置はまた、一つ以上の注入材料供給手段および一つ以上の表面改変材料供給手段(材料を容器内のプラズマに供給する)を含む。装置は、プラズマに供給される注入材料と表面改変材料との混合物を制御する一つ以上の材料供給制御ユニットを含む。
本発明は、以下の記述および図示の構成の詳細、要素の組み合わせの例に限定されない。本発明は種々の方法で実施される他の実施も含む。ここで使用する“包含する”“成る”または“有する”、“含む”などは、下述する事柄またはそれに同等なものを強調するために使用される。
ここで使用する用語“プラズマ”は広義の意味であり、ガス状で、電子、原子または分子、原子または分子のラジカル、中性の原子および分子を含むものである。プラズマは典型的に正味の電荷が実質的にゼロである。プラズマが、誘導性または容量性結合を伴う電源により誘導されるイオン化および/または分解現象により一つ以上の材料から形成される。
“プラズマ注入”は、在来のビームイオンで質量選択の特徴をもたないプラズマからの注入を利用する注入技術を示す。プラズマ注入では典型的に、同じチャンバーに基板とプラズマの両方がある。したがって、プラズマは基板の近傍またはプラズマに浸漬されている。典型的に、プラズマからのいろいろな種の種類が基板に注入される。
図1は、本発明の原理にしたがって、基板へのイオン注入のための方法100のフローチャートである。方法100は少なくとも一つの注入材料からプラズマを形成し(工程110)、プラズマから少なくとも一つの注入種を注入し(構成120)、プラズマに関連した表面ダメージを減少させるために、少なくとも一つの表面改変種を基板に向ける(工程130)ことを含む。
少なくとも一つの注入材料はたとえば、一つ以上のドーパント種を与える材料である。一つ以上のドーパント種は、たとえばシリコンなどの基板に注入される(工程120)。適切なドーパント材料として、たとえば、AsH3、PH3、BF3、AsF5、PF3、B5H9およびB2H6がある。
注入材料BF3の挙動についての以下の説明は本発明の原理を示す。説明する例は限定的なものではなく、本発明は広範囲な注入材料、注入種に適用できることは理解されよう。
BF3から形成されたプラズマは、BF3、BF2、BF、BのおよびFのラジカル、BF2、BF、BおよびFの正イオン並びの電子、さらに励起されていないBF3および他の分子、原子を含む。このようなプラズマは、典型的に、主要な成分として、ガスおよびエッチ形成分子、わずかかな成分のラジカル、微量なイオンおよび電子の成分を含む。プラズマ中のBイオン、他のイオンはたとえば、プラズマ浸漬注入または他のプラズマ注入のアプローチにより、注入される(工程120)。
プラズマ注入では、プラズマは、所望のB注入種源として働き、さらに典型的なフッ素を基本とするイオンエッチングをもたらす。一般的に、ラジカルF原子のような反応性ラジカルは、基板のエッチングに寄与する。BF2、BF、Bのラジカルのようなラジカル、およびラジカルのクラスターは基板の表面への付着に寄与するBF3、BF2、BF、BおよびFのようなイオンは、基板へのイオン注入に寄与し、基板のスパッタエッチングに寄与する。
化学的エッチングは、たとえば、基板内にシリコンまたは基板に付着したB(ホウ素)を含む組成物と反応し、SiF4またはBF3を形成するラジカルF原子よりなざれる。これらの反応生成物は揮発性で、基板の表面から逃げる。さらに、プラズマからのイオンが、たとえば、Fラジカルの吸着および上記SiF4またはBF3のような反応副産物の放出が容易になることからエッチングを高める。
さらに、表面への不揮発性のイオンの衝突により、表面は新たな化学的な腐食を受ける。不揮発性の付着が生じると(BF2、BF、Bのラジカル、ラジカルのクラスターから生ずる付着)、付着した副産物は基板の表面で蓄積する。
B(ホウ素)注入、他の注入種の注入に関連したエッチングおよび/または付着の効果を軽減するために、一つ以上の表面改変種が基板へ向けられ(工程130)、表面へのエッチングが無力化されおよび/または付着材料が除去される。表面改変種は表面改変材料から取り出すことができる。また、プラズマから注入種および表面改変種を提供するために、一つ以上の注入材料から(工程101)および一つ以上の表面改変材料から(工程102)、プラズマが形成される(工程110)。たとえば、ガス状の表面改変材料が、プラズマ注入(工程120)に利用されるプラズマに混合ガスを与える前に、ガス状のプラズマに添加される。一つ以上の表面改種が、プラズマ注入を介して、注入から生ずる表面へのエッチングまたは付着のダメージを軽減するために、プラズマから基板へと向けられる(工程130)。
たとえば、表面改変材料が、エッチングによるダメージを減少させることができる表面不活性化種を与える表面不活性化材料であってもよい。表面不活性化材料には、たとえば、N2、O2、SiH4、SiH4、テトラエトキシシラン、CxHy、またはCxHyOzがある。これら材料は、B、C、Si、NおよびOのような(プラズマから表面に向けられる)表面不活性化種を提供する。表面不活性化種は、エッチングに対する障壁を形成するために表面に取り付きまたは反応することができる。エッチングに対する障壁は、エッチング前駆体による基板の表面の攻撃および表面材料の除去(エッチング)を阻止することにより基板の表面への攻撃を防止する防止することができる。
障壁が、たとえばシリコン基板の表面に取り付くB、Si、および/またはCに対して、基板の表面に取り付く種によって形成される。障壁は、表面と反応する種、たとえばシリコン基板の表面上の、SiO2を形成するOおよび/またはSi3N4を形成するNにより形成される。エッチングに対する障壁は、たとえばBF3に基づくプラズマにより形成されるラジカルFから表面を保護する。
上述のとおり、表面改変材料が、基板表面に付着したプラズマ副産物をエッチングできるエッチング種を提供するエッチング材料であってもよい。エッチング材料が、たとえば、化学エッチング材料および/またはスパッタエッチング材料であってもよい。たとえば、化学エッチング材料には、H2、NH3、NF3、F2、およびCxFxHzがある。こられの材料は、H、F、およびClのような(プラズマから表面に向けられる)化学エッチング種を提供する。これら反応性種は、たとえば、付着した材料と揮発形化合物を形成することにより、材料の除去を促すために付着した材料と結合することができる。たとえば、H、F、およびClは、BF2、BF、Bのラジカルまたはクラスタから誘導される付着物を化学的に浸食することができる。
スパッタエッチング材料が、たとえば、希ガス、たとえばHe、Ne、ArまたはXeである。アルゴンイオンは、たとえば、サンプルの表面上の付着物をスパッタエッチングするために、浸漬プラズマまたはプラズマの近傍の他のところからサンプル表面に向けられる。
本発明の実施例では、ガスは、たとえば、約1mTorrから約50mTorrの範囲の圧力をもってプラズマに供給される。注入ガス状材料は、たとえば、約5sccm(立方センチメートル毎分(標準状態下))から約500sccmの範囲の流量で供給される。表面改変ガス状材料が、たとえば、約0.1sccmから約500sccmの範囲の流量で供給される。ガスから形成されるプラズマは、たとえば、約100ワットから約5000ワットの電力で作動する。
図2に、本発明の実施例のプラズマドーピングのようなプラズマ注入のための装置が図示されている。図2は、たとえば上記方法100を実施するために使用することができる。装置200は、プラズマとプラズマに晒される一枚以上の基板320を含むことになる容器210を有する。装置200はまた、一つ以上の注入材料供給源220、一つ以上の表面改変材料供給源230、流量制御器250および一つ以上の材料供給制御ユニット240を含む。
材料供給源220、230はプラズマの形成と維持のために容器210に材料を供給する。流量制御器250は、たとえば、容器210へと送られるガス状材料の圧力を制御するために、供給源220、230から材料の流れを調節する。材料供給制御ユニット240は、たとえば、流量制御器250と連通する容器210へと供給される注入材料および表面改変材料の混合物を制御する。したがって、方法100に関連した上記記述した本発明の原理にしたがって、装置は、たとえば、プラズマに関連した望ましくない付着やエッチングによる基板のダメージを軽減するとともに、基板をプラズマドーピングするために、使用することができる。
本発明の少なくとも一つの実施例についていくつかの態様を説明してきたが、当業者であれば、種々の変形、修正、改良をなし得ることは理解されよう。このような変形、修正、改良はこの開示の一部であり、本発明の思想および範囲内のものである。したがって、前述の記述および図面の記述は単に例示である。
図1は、本発明の原理にしたがった基板へのイオン注入方法の実施例のフローチャートである。 図2は、本発明の原理にしたがった基板へのイオン注入装置の実施例の断面図である。

Claims (19)

  1. 基板へのイオン注入方法であって、
    少なくとも一つの注入種を含む少なくとも一つの注入材料からプラズマを形成する形成工程と、
    プラズマの注入により、基板の表面に少なくとも一つの注入種を注入する注入工程と、
    プラズマに関連した表面へのダメージを軽減するために表面に少なくとも一つの表面改変種を向ける工程と、
    を含む方法。
  2. 形成工程が、少なくとの一つのイオン注入材料および少なくとも一つの表面改変種を含む少なくとも一つの表面改変材料からプラズマを形成する工程を含む、請求項1に記載の方法。
  3. 少なくとも一つのイオン注入材料および少なくとも一つの表面改変材料がガスであり、さらに、当該方法がプラズマを形成する前に、少なくとの一つの表面改変材料と少なくとも一つの注入材料との混合工程を含む、請求項2に記載の方法。
  4. 表面へのダメージが表面へのエッチングである、請求項1に記載の方法。
  5. 少なくとも一つの表面改変種が少なくとも一つの表面不活性化種を含み、表面改変種を向ける工程が、表面のエッチングを減少させるために、少なくとも一つの表面不活性化種から成るエッチング障壁を表面に形成する工程を含む、請求項4に記載の方法。
  6. 少なくとの一つの不活性化種がB、C、Si、N、およびOからなるグループから選択された少なくとも一つの要素からなる、請求項5に記載の方法。
  7. さらに、N2、O2、SiH4、SiH4、テトラエトキシシラン、CxHy、およびCxHyOzからなるグループから選択された少なくと一つの材料から、少なくとも一つの表面不活性化種を導出する工程を含む、請求項5に記載の方法。
  8. 表面へのダメージが表面への付着である、請求項1に記載の方法。
  9. 少なくとも一つの表面改変種が少なくとも一つのエッチング種を含み、表面改変種を向ける工程が、少なくとも一つのエッチング種に表面の付着物の少なくとも一部をエッチングさせる工程を含む、請求項8に記載の方法。
  10. 少なくとも一つのエッチング種が、少なくとも一つの化学的なエッチング材料と関連する、請求項9に記載の方法。
  11. 少なくとも一つの化学的エッチング材料が、H2、NH3、NF3、F2、およびCxFxHzからなるグループから選択される、請求項10に記載の方法。
  12. 少なくとも一つのエッチング種が少なくとも一つのスパッタリング材料と関連する、請求項9に記載の方法。
  13. 少なくとも一つのスパッタリング材料が希ガスからなるグループから選択される、請求項12に記載の方法。
  14. 付着物が、プラズマの形成および少なくとも一つの注入種の注入に関連した少なくとも一つの副産物を含む、請求項8に記載の方法。
  15. 注入工程および表面改変種を向ける工程が、少なくとも部分的に同時に生ずる、請求項1に記載の方法。
  16. 少なくとも一つの注入材料が少なくとも一つのドーパント種を含む、請求項1に記載の方法。
  17. ドーパント種が、B、P、AsおよびSbからなるグループから選択される、請求項16に記載の方法。
  18. 少なくとも一つの注入材料が、AsH3、PH3、AsF5、PF3、B5H9およびB2H6からなるグループから選択される少なくとも一つの材料を含む、請求項17に記載の方法。
  19. プラズマがグロープラズマおよびRFプラズマからなるグループから選択されるタイプのものである、請求項1に記載の方法。
JP2007518194A 2004-06-23 2005-06-21 プラズマ注入のためのエッチングおよび付着制御 Pending JP2008504687A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/874,944 US20050287307A1 (en) 2004-06-23 2004-06-23 Etch and deposition control for plasma implantation
PCT/US2005/021883 WO2006002138A2 (en) 2004-06-23 2005-06-21 Etch and deposition control for plasma implantation

Publications (1)

Publication Number Publication Date
JP2008504687A true JP2008504687A (ja) 2008-02-14

Family

ID=35506142

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007518194A Pending JP2008504687A (ja) 2004-06-23 2005-06-21 プラズマ注入のためのエッチングおよび付着制御

Country Status (5)

Country Link
US (1) US20050287307A1 (ja)
JP (1) JP2008504687A (ja)
CN (1) CN100524626C (ja)
TW (1) TW200610035A (ja)
WO (1) WO2006002138A2 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011122546A1 (ja) * 2010-03-29 2011-10-06 リンテック株式会社 成形体、その製造方法、電子デバイス用部材及び電子デバイス
JP2012507866A (ja) * 2008-10-31 2012-03-29 アプライド マテリアルズ インコーポレイテッド P3iチャンバにおける共形ドープの改善
US8771834B2 (en) 2010-09-21 2014-07-08 Lintec Corporation Formed body, production method thereof, electronic device member and electronic device
US8846200B2 (en) 2010-09-21 2014-09-30 Lintec Corporation Gas-barrier film, process for producing same, member for electronic device, and electronic device
US8865810B2 (en) 2009-03-26 2014-10-21 Lintec Corporation Formed article, method for producing same, electronic device member, and electronic device
US9340869B2 (en) 2008-08-19 2016-05-17 Lintec Corporation Formed article, method for producing the same, electronic device member, and electronic device
US9365922B2 (en) 2009-05-22 2016-06-14 Lintec Corporation Formed article, method of producing same, electronic device member, and electronic device
US9540519B2 (en) 2010-03-31 2017-01-10 Lintec Corporation Formed article, method for producing same, electronic device member, and electronic device
US9556513B2 (en) 2010-08-20 2017-01-31 Lintec Corporation Molding, production method therefor, part for electronic devices and electronic device

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115591A1 (en) * 2004-11-29 2006-06-01 Olander W K Pentaborane(9) storage and delivery
RU2415965C2 (ru) * 2005-09-22 2011-04-10 Скэффко Инджиниринг Энд Мэньюфэкчуринг, Инк. Способ плазменного борирования
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
WO2007124018A2 (en) * 2006-04-20 2007-11-01 Skaff Corporation Of America, Inc. Mechanical parts having increased wear resistance
KR100843231B1 (ko) * 2007-01-23 2008-07-02 삼성전자주식회사 플라즈마 도핑방법
US8029875B2 (en) * 2007-05-23 2011-10-04 Southwest Research Institute Plasma immersion ion processing for coating of hollow substrates
US9175381B2 (en) * 2008-07-09 2015-11-03 Southwest Research Institute Processing tubular surfaces using double glow discharge
KR101489326B1 (ko) * 2008-09-09 2015-02-11 삼성전자주식회사 기판의 처리 방법
US8679960B2 (en) * 2009-10-14 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate having a non-planar surface
US8753725B2 (en) 2011-03-11 2014-06-17 Southwest Research Institute Method for plasma immersion ion processing and depositing coatings in hollow substrates using a heated center electrode
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8871528B2 (en) 2011-09-30 2014-10-28 HGST Netherlands B.V. Medium patterning method and associated apparatus
US9121540B2 (en) 2012-11-21 2015-09-01 Southwest Research Institute Superhydrophobic compositions and coating process for the internal surface of tubular structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63119527A (ja) * 1986-11-07 1988-05-24 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH02159028A (ja) * 1988-12-13 1990-06-19 Matsushita Electric Ind Co Ltd プラズマによる固体表面付着物の除去方法
JPH1154451A (ja) * 1997-08-07 1999-02-26 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
JP2002313809A (ja) * 2001-01-26 2002-10-25 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
WO2004013371A2 (en) * 2002-08-02 2004-02-12 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for plasma implantation without deposition of a layer of byproduct

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP2919254B2 (ja) * 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5897346A (en) * 1994-02-28 1999-04-27 Semiconductor Energy Laboratory Co., Ltd. Method for producing a thin film transistor
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
JPH11214320A (ja) * 1998-01-20 1999-08-06 Handotai Process Kenkyusho:Kk 半導体層への不純物領域形成方法及び半導体層への不純物導入装置
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6020592A (en) * 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
JP2001203327A (ja) * 2000-01-21 2001-07-27 Sony Corp 容量素子と抵抗素子とを有する電子部材の製造方法、半導体装置の製造方法、及び半導体装置
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7494904B2 (en) * 2002-05-08 2009-02-24 Btu International, Inc. Plasma-assisted doping
JP4544447B2 (ja) * 2002-11-29 2010-09-15 パナソニック株式会社 プラズマドーピング方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63119527A (ja) * 1986-11-07 1988-05-24 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH02159028A (ja) * 1988-12-13 1990-06-19 Matsushita Electric Ind Co Ltd プラズマによる固体表面付着物の除去方法
JPH1154451A (ja) * 1997-08-07 1999-02-26 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
JP2002313809A (ja) * 2001-01-26 2002-10-25 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
WO2004013371A2 (en) * 2002-08-02 2004-02-12 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for plasma implantation without deposition of a layer of byproduct
JP2005535131A (ja) * 2002-08-02 2005-11-17 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 希釈ガスのスパッタリングによるプラズマ堆積表面層の除去

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9340869B2 (en) 2008-08-19 2016-05-17 Lintec Corporation Formed article, method for producing the same, electronic device member, and electronic device
JP2012507866A (ja) * 2008-10-31 2012-03-29 アプライド マテリアルズ インコーポレイテッド P3iチャンバにおける共形ドープの改善
US8865810B2 (en) 2009-03-26 2014-10-21 Lintec Corporation Formed article, method for producing same, electronic device member, and electronic device
US9365922B2 (en) 2009-05-22 2016-06-14 Lintec Corporation Formed article, method of producing same, electronic device member, and electronic device
WO2011122546A1 (ja) * 2010-03-29 2011-10-06 リンテック株式会社 成形体、その製造方法、電子デバイス用部材及び電子デバイス
US9540519B2 (en) 2010-03-31 2017-01-10 Lintec Corporation Formed article, method for producing same, electronic device member, and electronic device
US9556513B2 (en) 2010-08-20 2017-01-31 Lintec Corporation Molding, production method therefor, part for electronic devices and electronic device
US8771834B2 (en) 2010-09-21 2014-07-08 Lintec Corporation Formed body, production method thereof, electronic device member and electronic device
US8846200B2 (en) 2010-09-21 2014-09-30 Lintec Corporation Gas-barrier film, process for producing same, member for electronic device, and electronic device

Also Published As

Publication number Publication date
WO2006002138A3 (en) 2006-04-06
TW200610035A (en) 2006-03-16
US20050287307A1 (en) 2005-12-29
CN101015041A (zh) 2007-08-08
CN100524626C (zh) 2009-08-05
WO2006002138A2 (en) 2006-01-05

Similar Documents

Publication Publication Date Title
JP2008504687A (ja) プラズマ注入のためのエッチングおよび付着制御
EP2483906B1 (en) Method for ion source component cleaning
US20050260354A1 (en) In-situ process chamber preparation methods for plasma ion implantation systems
KR102311575B1 (ko) 피처리체를 처리하는 방법
KR20200053623A (ko) 고 에너지 ale (atomic layer etching)
US7397048B2 (en) Technique for boron implantation
US20100323113A1 (en) Method to Synthesize Graphene
US7888662B2 (en) Ion source cleaning method and apparatus
US8263944B2 (en) Directional gas injection for an ion source cathode assembly
KR101898597B1 (ko) 이온 소스의 수명 연장 방법
US20090215251A1 (en) Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US20120119113A1 (en) Implementation of CO-Gases for Germanium and Boron Ion Implants
US7737013B2 (en) Implantation of multiple species to address copper reliability
KR20140068127A (ko) 실리콘과 질소를 모두 함유하는 물질들의 건식-식각 레이트의 선택적인 억제
KR20000062570A (ko) 박막형성방법 및 박막형성장치
KR20140037202A (ko) 산화물 표면 대신 베어 실리콘 상의 폴리머 막들의 선택적 증착
KR20160041778A (ko) 피처리체를 처리하는 방법
KR100700811B1 (ko) 처리 중에 비소화 갈륨 기질에 대한 손상을 방지하는 가상 셔터 방법 및 장치
JP2000068227A (ja) 表面処理方法および装置
KR20230035057A (ko) 염소계 이온 소스 재료를 사용할 때 수소 공동-가스
US20040266123A1 (en) Electron beam treatment of SixNy films
US20230282451A1 (en) Cover ring to mitigate carbon contamination in plasma doping chamber
KR20070032342A (ko) 플라즈마 주입을 위한 에칭 및 침전 제어
CN116325062A (zh) 蚀刻氮化铝或氧化铝以产生铝离子束
JP2009164056A (ja) イオン注入装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080612

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080627

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111205

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111208

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121105