CN100490117C - 半导体器件的制造方法 - Google Patents

半导体器件的制造方法 Download PDF

Info

Publication number
CN100490117C
CN100490117C CNB2005101341926A CN200510134192A CN100490117C CN 100490117 C CN100490117 C CN 100490117C CN B2005101341926 A CNB2005101341926 A CN B2005101341926A CN 200510134192 A CN200510134192 A CN 200510134192A CN 100490117 C CN100490117 C CN 100490117C
Authority
CN
China
Prior art keywords
film
gas
semiconductor device
conducting film
manufacture method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005101341926A
Other languages
English (en)
Other versions
CN1815712A (zh
Inventor
石塚章広
岡本悟
物江滋春
山崎舜平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1815712A publication Critical patent/CN1815712A/zh
Application granted granted Critical
Publication of CN100490117C publication Critical patent/CN100490117C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/124Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1248Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/10OLEDs or polymer light-emitting diodes [PLED]
    • H10K50/17Carrier injection layers
    • H10K50/171Electron injection layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明的目的是制造防止因透明导电膜和蚀刻气体起反应而引起产生微小灰尘,具有良好特性的半导体器件。本发明涉及一种半导体器件的制造方法,其中,形成透明导电膜,在所述透明导电膜上形成第一导电膜,在所述第一导电膜上形成第二导电膜,用含有氯的气体蚀刻所述第二导电膜,用含有氟的气体蚀刻所述第一导电膜。当用含有氯的气体蚀刻第二导电膜时,透明导电膜被第一导电膜保护,并当含有氟的气体蚀刻第一导电膜时,透明导电膜和含有氟的气体不会起反应,因此,不会产生灰尘。

Description

半导体器件的制造方法
技术领域
本发明涉及层叠多个导电膜的叠层膜的蚀刻方法、以及使用这种导电膜的半导体器件的制造方法。
背景技术
近年来,对显示器件的开发更加积极地展开,同时要求构成显示器件的每个元件微细化。
微细化元件需要高精度的蚀刻技术。通常,通过在形成导电膜后使用湿蚀刻或干蚀刻来形成电极或布线。其中干蚀刻更适合于形成微细的结构(参照专利公报NO.2734753、专利公开2001-188240号公报、专利公开2003-174173号公报)。
作为形成这种元件的电极或布线的材料使用电阻低的导电膜、例如,以铝(Al)为主要成分的导电膜。干蚀刻铝时常常使用包含氯的气体诸如Cl2、BCl3、SiCl4、CCl4等。
在显示器件的像素部分中设置透明导电膜的情况很多,在这种情况下,为了降低电阻或提高与薄膜晶体管等的元件的接触,通过层叠透明导电膜和如上所述那样的电阻低的导电膜来形成电极。但是,当使用干蚀刻法蚀刻透明导电膜时,蚀刻气体和导电膜起反应而引起产生微小的灰尘。
在图2A和2B中,1001表示作为透明导电膜的氧化铟锡合金(Indium Tin Oxide(ITO))膜,1002表示铝(Al)膜。在ITO膜1001上形成Al膜1002之后(图2A),蚀刻Al膜1002。此时,如果使用氯类的气体、例如BCl3和Cl2的混合气体作为蚀刻气体,Al膜1002被蚀刻的同时,ITO膜1001和混合气体中的氯(Cl)起反应,导致微小的灰尘1003产生于被蚀刻的区域周边(图2B)。
在图3A和3B中示出了在ITO膜和Al膜之间形成钛(Ti)膜的例子。1101表示ITO膜,1102表示Ti膜,1103表示Al膜(图3A)。如果使用包含氯的气体、例如BCl3和Cl2的混合气体作为蚀刻气体来连续地蚀刻Al膜1103和Ti膜1102,形成于Ti膜1102之下的ITO膜1101就暴露,导致氯(Cl)和ITO膜1101起反应。其结果,与图2B同样,产生微小的灰尘1104(图3B)。
这种灰尘成为透明导电膜和其他导电膜短路的原因。例如,当制造显示器件时,该灰尘引起像素部分的点缺陷或线缺陷。
发明内容
本发明的目的是制造防止产生这种微小灰尘,并且具有良好特性的半导体器件。
首先,形成下层、中层和上层的导电膜。此时,下层的导电膜是透明导电膜,并使用不被含有氟的气体蚀刻的材料来形成。此外,中层的导电膜使用与含有氯的气体不起反应并且被含有氟的气体蚀刻的材料来形成。
接下来,使用含有氯的气体产生等离子体,通过干蚀刻法蚀刻上层的导电膜。此时,由于下层的导电膜被中层的导电膜保护,因此,含有氯的气体和下层的导电膜不会起反应。此外,中层的导电膜使用与含有氯的气体不起反应的材料来形成,所以,其作为上层的导电膜的蚀刻停止层发挥作用。
接下来,用含有氟的气体通过干蚀刻法蚀刻中层的导电膜。此时,由于含有氟的气体和下层的导电膜不会起反应,所以,不会产生下层的导电膜和氟的反应化合物,也就不会产生灰尘。另外,此时,下层的导电膜作为蚀刻停止层发挥作用。
本发明涉及一种半导体器件的制造方法,其中,形成透明导电膜,在所述透明导电膜上形成第一导电膜,在所述第一导电膜上形成第二导电膜,用含有氯的气体蚀刻所述第二导电膜,并用含有氟的气体蚀刻所述第一导电膜。
此外,作为其他结构,本发明涉及一种半导体器件的制造方法,其包括以下步骤:在具有绝缘表面的衬底上形成半导体膜;形成绝缘膜并使其覆盖所述半导体膜;在所述绝缘膜上形成透明导电膜;在所述绝缘膜中形成接触孔;在所述透明导电膜上和所述接触孔中形成第一导电膜;在所述第一导电膜上形成第二导电膜;使用包含氯的气体蚀刻所述第二导电膜;使用包含氟的气体蚀刻所述第一导电膜,其中,所述透明导电膜电连接到所述半导体膜。
此外,作为其他的结构,本发明涉及一种半导体器件的制造方法,其包括以下步骤:在具有绝缘表面的衬底上形成半导体膜;形成绝缘膜并使其覆盖所述半导体膜;在所述绝缘膜上形成透明导电膜;在所述绝缘膜中形成接触孔;在所述透明导电膜上和所述接触孔中形成第一导电膜;在所述第一导电膜上形成第二导电膜;使用包含氯的气体蚀刻所述第二导电膜;使用包含氟的气体蚀刻所述第一导电膜;在所述透明导电膜上形成包括发光层的有机化合物层,其中,所述透明导电膜电连接到所述半导体膜。
在本发明中,所述透明导电膜为氧化铟锡合金(ITO)膜、包括硅(Si)的氧化铟锡合金膜、氧化锌(ZnO)膜、氧化锡(SnO2)膜、氧化铟(InO)膜以及将2至20atomic%的氧化锌(ZnO)混合到氧化铟(InO)的氧化铟氧化锌(IZO)合金膜中的任何一种膜。
在本发明中,所述第一导电膜包含钼(Mo)、钨(W)、钽(Ta)和铬(Cr)等的金属、其氮化物、或其合金中的任何一种。
在本发明中,所述第二导电膜为铝膜、包含镍(Ni)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素的铝合金膜、以及包含镍(Ni)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素和碳的铝合金膜中的任何一种膜。
在本发明中,所述包含氯的气体为包含Cl2、BCl3、SiCl4和CCl4中的任何一种的气体。
在本发明中,所述包含氟的气体为包含CF4、SF6和NF3中任何一种的气体。
在本发明中,在所述透明导电膜上形成包括发光层的有机化合物层。
根据本发明,当蚀刻导电膜时,可以防止透明导电膜和氯类气体起反应,所以,可以抑制产生微小的灰尘,因而能够制造具有良好特性的半导体器件。
附图说明
图1A至1C是表示本发明的半导体器件的制造步骤的附图。
图2A和2B是表示常规的半导体器件的制造步骤的附图。
图3A和3B是表示常规的半导体器件的制造步骤的附图。
图4A至4D是表示本发明的半导体器件的制造步骤的附图。
图5A至5C是表示本发明的半导体器件的制造步骤的附图。
图6A至6C是表示本发明的半导体器件的制造步骤的附图。
图7A和7B是表示本发明的半导体器件的制造步骤的附图。
图8A和8B是表示本发明的半导体器件的制造步骤的附图。
图9A和9B是表示本发明的半导体器件的制造步骤的附图。
图10是表示本发明的半导体器件的制造步骤的附图。
图11是表示本发明的半导体器件的制造步骤的附图。
图12是表示本发明所适用的电子装置的例子的附图。
图13是表示本发明所适用的电子装置的例子的附图。
图14A和14B是表示本发明所适用的电子装置的例子的附图。
图15A和15B是表示本发明所适用的电子装置的例子的附图。
图16是表示本发明所适用的电子装置的例子的附图。
图17A至17E是表示本发明所适用的电子装置的例子的附图。
图18是表示本发明的结构的电子显微镜照片。
图19是表示本发明的结构的电子显微镜照片。
图20是表示常规的结构的电子显微镜照片。
图21是表示本发明的显示器件的制造步骤的附图。
具体实施方式
下面将通过参考附图来详细描述本发明。注意,本发明不局限于以下的描述,可以以多种不同形式被执行,在不脱离本发明的宗旨及范围的情况下各种变化和修改都是可能的,这对于所属领域的普通人员来说是显而易见的。因此,本发明不限于下文中描述的本发明的实施方式的内容。需要注意的是,在下文中所描述的本发明的结构中,不同的附图中相同的参考标记表示相同的部分或者具有相同功能的结构,并且不再重复说明。
下文中将用图1A至1C描述本实施方式。
首先,顺序层叠第一导电膜101、第二导电膜102以及第三导电膜103(图1A)。第一导电膜101的材料使用透明导电膜、例如氧化铟锡合金(也称为铟锡氧化物)(ITO)、含有硅(Si)的ITO、氧化锌(ZnO)、氧化锡(SnO2)、氧化铟(InO)以及将2至20atomic%的氧化锌(ZnO)混合到氧化铟(InO)的氧化铟氧化锌(IZO)合金等。在本实施方式中,形成含有Si的ITO膜。含有Si的ITO膜可以通过溅射法以使用ITO和氧化硅(SiO2)的靶而形成。
此外,第二导电膜102的材料可以使用金属、例如钼(Mo)、钨(W)、钽(Ta)和铬(Cr)等、其氮化物或其合金,在本实施方式中用溅射法形成钼(Mo)膜。
此外,作为第三导电膜103,用溅射法形成以铝为主要成分的膜。以铝为主要成分的膜可以使用铝膜、包含镍(Ni)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素的铝合金膜、以及包含镍(Ni)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素和碳的铝合金膜。在包含碳的铝合金膜中,镍(Ni)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中的至少一种元素优选以0.5至7.0atomic%被包括,碳优选以0.1至3.0atomic%被包括。
接下来,在第三导电膜103上形成掩模,用干蚀刻法蚀刻第三导电膜103(图1B)。
作为蚀刻气体,可以使用含有氯的气体,例如包含Cl2、BCl3、SiCl4和CCl4中的任何一种的气体。此外,可以将惰性气体添加到要使用的蚀刻气体中。作为添加的惰性元素,可以使用选自He、Ne、Ar、Kr和Xe中的一种或多种元素。
在本实施方式中,第三导电膜103的干蚀刻使用BCl3和Cl2的混合气体,以60sccm和20sccm的流量分别流过BCl3和Cl2来进行干蚀刻。
此时,第二导电膜102成为蚀刻停止层,从而第一导电膜101不接触到BCl3和Cl2的混合气体。因此,可以防止产生灰尘。
接下来,以第一导电膜101作为蚀刻停止层向第二导电膜102进行干蚀刻。作为蚀刻气体可以使用包含氟的气体,例如含有CF4、SF6和NF3中任何一种的气体,来进行蚀刻。在本实施方式中,以30至60sccm和40至70sccm的流量分别流过CF4和O2,来进行干蚀刻第二导电膜102(图1C)。
由此,可以只向第二导电膜102进行蚀刻。由于CF4和O2不会与第一导电膜101起反应,所以不会产生微小的灰尘。
在本发明中,当用含有氯的气体蚀刻第三导电膜103时,由于第二导电膜102形成在第一导电膜101上,所以第一导电膜101不暴露于含有氯的气体,从而不产生灰尘。此外,当蚀刻第二导电膜102时,由于使用了与第一导电膜101不起反应并包含氟的气体,所以此时也不产生灰尘。因此,根据本发明,可以制造特性良好的半导体器件。
实施例1
在本实施例中,使用图18、图19和图20比较本发明和常规的结构。
图18和图19示出了当在玻璃衬底上形成含有氮的氧化硅膜、氧化铟锡合金(ITO)膜、钼(Mo)膜和铝(Al)膜后,以表1所示的条件进行蚀刻时的扫描电子显微镜照片。
表1(A)
Figure C200510134192D00111
表1(B)
Figure C200510134192D00112
注意,表1A示出蚀刻钼(Mo)膜时的CF4和O2的条件,表1B示出蚀刻铝(Al)膜时的BCl3和Cl2的条件。
再有,图18是剥离抗蚀剂之前的扫描电子显微镜照片。图19是剥离抗蚀剂之后的扫描电子显微镜照片。在图19中,抗蚀剂被剥离,所以铝膜露出。
由图18以及图19可见,没有产生微小的灰尘而蚀刻被良好地进行。
作为比较例,图20示出在玻璃衬底上形成含有氮的氧化硅膜、氧化铟锡合金(ITO)膜、钛(Ti)膜和铝(Al)膜后,以表1B所示的条件进行蚀刻时的扫描电子显微镜照片。图20对应于所述图3A和3B的叠层结构。注意,在图18和图19中使用钼(Mo)膜,在图20中,使用钛(Ti)膜。
再有,在图20中,铝膜上的抗蚀剂没有被剥离而存留下来。
由图20可见,在以BCl3和Cl2蚀刻的步骤中,氯和ITO起反应导致产生了微小的灰尘。
此外,如表1A所示那样,Mo和ITO的蚀刻选择比(sele.)大,为51.6,相对良好。
在表1A的条件下,可以认为蚀刻选择比高的原因是O2量和CF4量的比例适当。
将O2添加到CF4,O2和CF4起反应而成为CO和F,产生F基或F离子。如O2的量少,则F基或F离子的量也少,所以不能充分地蚀刻。另一方面,如O2的量太多,则产生卷绕导致过蚀刻。
如上所示,本发明对于在蚀刻ITO膜上的导电膜时抑制产生微小灰尘很有效。
实施例2
以下将用图4A至4D、图5A至5C、图6A至6C、图7A至7C、图8A和8B来描述根据本发明的半导体器件的制造方法的例子。
首先,如图4A所示那样,在衬底501上形成基底膜502。衬底501可以使用玻璃衬底诸如硼硅酸钡玻璃或硼硅酸铝玻璃等、石英衬底和不锈钢衬底等。此外,也可以使用以PET(聚对苯二甲酸乙二醇酯)、PES(聚醚砜)、PEN(聚萘二酸乙二醇酯)为代表的塑料衬底、或由具有柔性的合成树脂例如丙烯等来构成的衬底。
设置基底膜502是为了防止衬底501中含有的Na等的碱金属或碱土金属扩散到半导体膜中,导致给半导体元件的特征带来不好的影响。
基底膜502可以使用氧化硅、氮化硅、含有氮的氧化硅、含有氧的氮化硅等,也可以为单层或层叠结构诸如两层、三层等。此外,在使用多少含有碱金属或碱土金属的衬底诸如玻璃衬底、不锈钢衬底或塑料衬底的情况下,从防止杂质扩散的观点来看,设置基底膜是很有效的。但是,在使用石英衬底,即杂质扩散并不成为重要问题的情况下,并不需要设置基底膜。
在本实施例中,以SiH4、NH3、N2O、N2和H2为反应气体在衬底上形成膜厚50nm的含有氧的氮化硅膜502a,然后,在其上以SiH4以及N2O为反应气体形成膜厚100nm的含有氮的氧化硅膜502b。此外,也可以使含有氧的氮化硅膜的膜厚为140nm、要层叠的含有氮的氧化硅膜的膜厚为100nm。
接下来,在基底膜502上形成半导体膜503。半导体膜503的膜厚为25至100nm(优选为30至60nm)。再有,作为半导体除了硅(Si)以外还可以使用硅锗(SiGe)。在使用硅锗的情况下,锗浓度优选大约为0.01至4.5atomic%。
半导体膜503可以使用以硅烷或锗烷等的半导体材料气体通过气相生长法或溅射法而制造的非晶半导体(以下也称为非晶质半导体)、或微晶半导体(以下也称为SAS)等。
微晶半导体(SAS)具有非晶和结晶(包括单结晶和多结晶)的中间结构,是具有自由能稳定的第三状态的半导体,并且含有具有短程有序和晶格畸变的结晶区域。膜中至少一部区域中可以观测0.5至20nm的结晶区域,在以硅为主要成分的情况下,拉曼光谱的波数趋向低于520cm-1。在X线衍射中,可以观测来源于硅结晶格子的(111)、(220)的衍射峰。为了终端化悬空键,在其内含有至少1原子%或更多的氢或卤。通过辉光放电分解(等离子体CVD)硅化物气体来形成SAS。作为硅化物气体,可以使用SiH4、Si2H6、SiH2Cl2、SiHCl3、SiCl4、SiF4等。此外,可以混合F2和GeF4。也可以将这些硅化气体用H2、或H2和选自He、Ar、Kr和Ne中的一种或多种的稀气体元素来稀释。稀释率在2至1000倍的范围中,压力大约在0.1至133Pa的范围中,电源频率在1至120MHz、优选在13至60MHz。衬底加热温度优选低于300℃,也可以在100至200℃的衬底加热温度下形成SAS。在此,作为主要成膜时被导入的杂质元素,来源于大气成分诸如氧、氮、碳等的杂质优选为低于1×1020cm-3,特别是氧浓度为低于5×1019cm-3、优选为低于1×1019cm-3。此外,使稀气体元素诸如氦、氩、氪或氖等含有在其内来进一步促进晶格畸变,从而可以得到稳定性高的良好的SAS。此外,作为半导体膜,可以在由氟类气体构成的SAS层上层叠由氢类气体构成的SAS层。
作为非晶半导体,可以典型地举出氢化非晶硅等。此外,如上所述,也可以使用微晶半导体或半导体膜的一部分中含有结晶相的半导体。
在本实施例中,通过等离子体CVD法形成膜厚54nm的非晶硅膜用作半导体膜503。
接着,向半导体膜503中导入促进半导体的结晶化的金属元素。向半导体膜503中导入金属元素的方法只要使金属元素存在于半导体膜503的表面上或内部就没有特别的限定。例如,可以使用溅射法、CVD法、等离子体处理法(包括等离子体CVD法)、吸收法或涂敷金属盐的溶液的方法。其中,使用溶液的方法比较简便,因为容易调整金属元素的浓度,所以是很有效的。此外,此时,为了改善半导体膜503表面的润湿性、并且使水溶液遍布到非晶半导体膜的整个表面上,优选通过在氧氛中照射UV光、热氧化法、使用含有羟基的臭氧水或过氧化氢的处理等,形成氧化膜。
作为促进结晶化半导体的金属元素,可以使用选自镍(Ni)、锗(Ge)、铁(Fe)、钯(Pd)、锡(Sn)、铅(Pb)、钴(Co)、铂(Pt)、铜(Cu)和金(Au)中的一种元素或多种元素。在本实施例中,使用镍(Ni)用作金属元素,通过旋转涂敷法向半导体膜503表面上涂敷液相的镍醋酸溶液504(图4A)。
接着,通过在氮氛气中以450至500℃的温度下保持一个小时,来脱半导体膜503中的氢。这是为了在半导体膜503中故意地形成不成对键手以便在之后的结晶化时降低阈值能。
然后,通过在氮氛气中以550至600℃进行4至8个小时的加热处理,以结晶化半导体膜503而得到结晶半导体膜505。因为该金属元素而使得结晶化半导体膜503时的温度可以为较低的550至600℃。
接着,将线状激光束500照射到结晶半导体膜505以便进一步改善结晶性(图4B)。
在进行激光结晶化的情况下,为了提高结晶半导体膜505对于激光的耐性,可以在激光结晶化之前以500℃对结晶半导体膜505进行加热处理一个小时。
激光结晶化可以使用连续振荡的激光器、或作为虚CW激光器的具有振荡频率高于10MHz、优选高于80MHz的脉冲振荡激光器。
具体来说,连续振荡的激光器可以举出Ar激光器、Kr激光器、CO2激光器、YAG激光器、YVO4激光器、YLF激光器、YAlO3激光器、GdVO4激光器、Y2O3激光器、红宝石激光器、变石激光器、Ti:蓝宝石激光器、氦镉激光器等。
另外,作为虚CW激光器,只要能够振荡频率高于10MHz、优选高于80MHz的脉冲振荡激光就可以使用Ar激光器、Kr激光器、准分子激光器、CO2激光器、YAG激光器、Y2O3激光器、YVO4激光器、YLF激光器、YAlO3激光器、GdVO4激光器、玻璃激光器、红宝石激光器、变石激光器、Ti:蓝宝石激光器、铜蒸气激光器或金蒸汽激光器等的脉冲振荡激光器。
这种脉冲振荡激光器随着振荡频率的增加显示出与连续振荡激光器同样的效果。
例如,在使用可连续振荡的固体激光器的情况下,通过照射第二谐波至第四谐波的激光束,可以得到大粒径的结晶。典型的是,优选使用YAG激光器(基波1064nm)的第二谐波(532nm)或第三谐波(355nm)。例如,将从连续振荡的YAG激光器射出的激光束由非线形状光学元件转换为谐波,然后照射到半导体膜505。能源密度优选为0.01至100MW/cm2左右(优选为0.1至10MW/cm2)。
再有,也可以在包括稀气体或氮等的惰性气体的氛气中照射激光束。由此,可以抑制由照射激光束而产生的半导体表面上的粗糙,也可以抑制由界面态密度不均匀引起的阈值电压的不均匀。
通过上述向半导体膜505照射激光束500,结晶性更高的结晶半导体膜506被形成(图4C)。
接着,如图4D所示那样,用结晶半导体膜506形成岛状半导体膜507至510。该岛状半导体膜507至510作为之后要形成的TFT的激活层。
接着,向岛状半导体膜导入为控制阈值的杂质。在本实施例中,通过导入乙硼烷(B2H6)来向岛状半导体膜中导入硼(B)。
接着,形成绝缘膜511以便覆盖岛状半导体膜507至510。绝缘膜511可以使用氧化硅、氮化硅、或含有氮的氧化硅等。此外,成膜方法可以使用等离子体CVD法或溅射法等。
接着,在形成绝缘膜511后,形成第一导电膜512和第二导电膜513,并且用这些膜形成栅电极515至519。
栅电极515至519由单层或层叠两层或更多层而形成的叠层结构的导电膜来形成。在将导电膜层叠不少于两层的情况下,层叠选自钽(Ta)、钨(W)、钛(Ti)、钼(Mo)、铝(Al)中的元素、或以上述元素为主要成分的合金材料或化合物材料来形成栅电极515至519。此外,也可以使用以导入磷(P)等的杂质元素的多晶硅膜为代表的半导体膜形成栅电极。
在本实施例中,首先,作为第一导电膜512,形成10至50nm、例如30nm的厚度的例如氮化钽(TaN)膜。然后,在第一导电膜512上形成作为第二导电膜513的200至400nm、例如370nm的厚度的例如钨(W)膜。如此,形成第一导电膜512和第二导电膜513的叠层膜(图5A)。
接着,通过向第二导电膜和第一导电膜连续地进行各向异性蚀刻,然后向第二导电膜进行多向同性蚀刻,而形成上层栅电极515b至519b、下层栅电极515a至519a。如此,形成栅电极515至519(图5B)。
栅电极515至519可以作为栅布线的一部分形成,或者,也可以使栅电极515至519连接到另外形成的栅布线。
此外,当形成栅电极515至519时,一部绝缘膜511也被蚀刻,结果栅绝缘膜514被形成。
接着,以栅电极515至519或抗蚀剂作为掩模,将赋予一种导电型(n型或p型的导电性)的杂质添加到每个岛状半导体膜507至510,从而形成源区、漏区以及低浓度杂质区域等。
首先,用磷化氢(PH3)向岛状半导体膜以加速电压60至120keV、剂量1×1013至1×1015cm-2导入磷(P)。当导入杂质时,形成n沟道型TFT542以及543的沟道形成区域525、528以及531。
此外,为了制作p沟道型TFT541以及544,将乙硼烷(B2H6)以施加电压60至100keV、例如80keV、剂量1×1013至5×1015cm-2、例如3×1015cm-2的条件导入,来实现向岛状半导体膜中导入硼(B)。从而,p沟道型TFT 541和544的源区或漏区521以及533被形成,此外,当导入杂质时,沟道形成区域522以及534被形成。
进一步,向将成为n沟道型TFT542以及543的岛状半导体膜508以及509中,以施加电压40至80keV、例如50keV、剂量1.0×1015至2.5×1016cm-2、例如3.0×1015cm-2导入磷化氢(PH3)来导入磷(P)。从而,n沟道型TFT的低浓度杂质区域524、527和530、以及源区或漏区523、526、529和532被形成(图5C)。
在本实施例中,n沟道型TFT 542以及543的源区或漏区523、526、529和532分别含有1×1019至5×1021cm-3浓度的磷(P)。此外,n沟道型TFT 542以及543的低浓度杂质区域524、527和530分别含有1×1018至5×1019cm-3浓度的磷(P)。此外,p沟道型TFT 541和544的源区或漏区521以及533含有1×1019至5×1021cm-3浓度的硼(B)。
接下来,形成第一层间绝缘膜551以便覆盖岛状半导体膜507至510、栅绝缘膜514和栅电极515至519。
作为第一层间绝缘膜551,使用通过等离子体CVD法或溅射法而形成的含有硅的绝缘膜,例如氧化硅膜、氮化硅膜、含有氮的氧化硅膜、或其叠层膜。当然,第一层间绝缘膜551不局限于含有氮的氧化硅膜、氮化硅膜、或其叠层膜,也可以使用其他含有硅的绝缘膜形成单层或叠层结构。
在本实施例中,导入杂质后,通过等离子体CVD法形成膜厚50nm的含有氮的氧化硅膜,并且用激光照射方法或在形成含有氮的氧化硅膜后通过在氮氛气中以550℃加热4个小时来激化杂质。
接着,通过等离子体CVD法形成膜厚50nm的氮化硅膜,并进一步形成膜厚600nm的含有氮的氧化硅膜。含有氮的氧化硅膜、氮化硅膜和含有氮的氧化硅膜的叠层膜就是第一层间绝缘膜551。
接着,通过以410℃对整体加热一个小时,来执行使氢从氮化硅膜中释放出来的半导体膜的氢化步骤。
接着,形成覆盖第一层间绝缘膜551的起到平整膜作用的第二层间绝缘膜552(图6A)。
作为第二层间绝缘膜552,可以使用感光性或非感光性的有机材料(聚酰亚胺、丙烯、聚酰胺、聚酰亚胺酰胺、抗蚀剂或苯并环丁烯)、硅氧烷、以及这些的叠层结构。作为有机材料,可以使用正型感光性有机树脂或负型感光性有机树脂。
所谓硅氧烷,是用硅(Si)与氧(O)的键构成其骨架结构的材料。作为取代基,采用至少含氢的有机基(例如丙烯基、芳香族烃)。作为取代基,也可采用氟基。或者,作为取代基,也可采用至少含氢的有机基和氟基。
在本实施例中,用旋转涂敷法形成硅氧烷作为第二层间绝缘膜552。
再有,也可以在第二层间绝缘膜552上形成第三层间绝缘膜。作为第三层间绝缘膜,使用与其他绝缘膜相比难以透过水分或氧等的膜。典型地,可以使用通过溅射法或CVD法而得到的氮化硅膜、氧化硅膜、含有氧的氮化硅膜(组成比N>O)或含有氮的氧化硅膜(组成比N<O)、以碳为主要成分的薄膜(例如类金刚石碳膜(DLC膜)、氮化碳膜(CN膜))等。
接着,在第二层间绝缘膜552上形成透明导电膜553(图6B)。在本发明中使用含有硅(Si)的氧化铟锡合金(也称为含有Si的铟锡氧化物)用作透明导电膜。除了含有Si的氧化铟锡合金以外,还可以使用氧化锌(ZnO)、氧化锡(SnO2)、氧化铟(InO)、将2至20atomic%的氧化锌混合到氧化铟(InO)的氧化铟氧化锌(IZO)合金等的透明导电膜。在本实施例中,通过溅射法形成膜厚110nm的含有Si的氧化铟锡合金用作透明导电膜553。
接着,用透明导电膜553形成像素电极554(图6C)。形成像素电极554的方法是通过湿蚀刻法蚀刻透明导电膜553。
通过蚀刻第一层间绝缘膜551和第二层间绝缘膜552,在第一层间绝缘膜551和第二层间绝缘膜552形成抵达岛状半导体膜507至510的接触孔(图7A)。
在第二层间绝缘膜551上经由接触孔形成第三导电膜555和第四导电膜556(图7B)。
在本实施例中,可以使用由钼(Mo)、钨(W)、钽(Ta)、铬(Cr)而构成的膜或用这些元素的合金膜作为第三导电膜555。在本实施例中,通过溅射法形成膜厚100nm的钼(Mo)。
此外,通过溅射法形成以铝为主要成分的膜作为第四导电膜556。以铝为主要成分的膜可以使用铝膜、含有镍、钴、铁中至少一种元素的铝合金膜、或含有镍、钴、铁中至少一种元素以及碳的铝合金膜。在本实施例中,通过溅射法形成膜厚700nm的铝膜。
接着,蚀刻第四导电膜556而形成电极561b至567b(图8A)。
通过干蚀刻法用BCl3和Cl2的混合气体蚀刻第四导电膜556。在本实施例中,以流量60sccm和20sccm分别流过BCl3和Cl2来进行干蚀刻。
此时,由于第三导电膜555成为蚀刻停止层,所以不会使像素电极554接触到BCl3和Cl2的混合气体。因此,可以防止产生灰尘。
接着,蚀刻第三导电膜555而形成电极561a至567a。在本实施例中,以30至60sccm和40至70sccm分别流过CF4和O2来向第三导电膜555进行干蚀刻。
此时,因为像素电极554不与CF4和O2起反应,所以不会产生微小的灰尘。此外,像素电极554成为蚀刻第三导电膜555以形成电极567a的蚀刻停止层。
如上那样,形成了电极561至567。每个电极561至567可以将电极和布线以同样的材料和同样的步骤形成,或者也可以在分别形成电极和布线后将其连接。
通过上述一系列的步骤,形成了n沟道型TFT 542、543以及p沟道型TFT 541、544。n沟道型TFT 542和p沟道型TFT 541通过电极562被连接,从而形成CMOS电路571(图8B)。
另外,如有必要,本实施例可以与实施方式以及实施例1的任何记述自由地组合。
实施例3
在本实施例中,用图9A和9B、图10、图11以及图21示出了应用本发明制造两面射出型显示器件的例子。
首先,根据实施例2,进行直到形成图8B的电极561至567的步骤。注意,与实施例2相同的部分用相同的符号表示。
在本实施例中,在衬底501上设置驱动电路部分595以及像素部分596。在该驱动电路部分595中形成由n沟道型TFT542和p沟道型TFT541构成的CMOS电路571。在该像素部分596中形成起到像素TFT作用的p沟道型TFT544和用于驱动像素TFT的n沟道型TFT543。此外,在本实施例中,像素电极554作为发光元件的阳极发挥作用。
在形成电极561和562后,形成覆盖像素电极554的端部的绝缘体581(称为堤坝、障壁等)。作为绝缘体581,在膜厚为0.8μm至1μm的范围内采用由涂敷法得到的感光性或非感光性的有机材料(聚酰亚胺、丙烯、聚酰胺、聚酰亚胺酰胺、抗蚀剂或苯并环丁烯)或SOG膜(例如,含丙烯基的氧化硅膜)(图9A)。
作为绝缘体581,可以使用氧化硅、氮化硅、含有氮的氧化硅、氧化铝、氮化铝、氧氮化铝以及其他无机绝缘材料。除此之外,还可以使用诸如丙烯酸、甲基丙烯酸、其衍生物、聚酰亚胺、芬芳聚酰胺以及聚苯并咪唑等耐热性高分子。此外,还可以使用硅氧烷的绝缘材料来形成绝缘体581。绝缘体581最好具有连续可变的曲率半径,这可提高在其上形成的有机化合物层582和第二电极583的覆盖范围。
所谓硅氧烷,是用硅(Si)与氧(O)的键构成其骨架结构的材料。作为取代基,采用至少含氢的有机基(例如丙烯基、芳香族烃)。作为取代基,也可采用氟基。或者,作为取代基,也可采用至少含氢的有机基和氟基。
形成绝缘体581之后,形成有机化合物层582。接着,以膜厚10至800nm的范围形成第二电极583、即发光元件的阴极(图9B)。作为第二电极583,除了氧化铟锡合金(ITO)之外,还可采用例如含Si元素的氧化铟锡合金或在氧化铟(InO)内混合了2至20atomic%的氧化锌(ZnO)的铟锌氧化物(IZO)。
有机化合物层582具有用蒸发沉淀法或涂敷法形成的空穴注入层601、空穴输运层602、发光层603、电子输运层604和电子注入层605。再有,为了提高发光元件的可靠性,在形成有机化合物层582之前,最好进行真空加热去气。例如,在进行有机化合物材料的蒸发沉淀前,为了除去衬底中所含的气体,优选在减压气氛或惰性气氛中进行200至300℃的加热处理。再有,在用具有高耐热性的SiOx膜形成层间绝缘膜和绝缘体的情况下,还可施加更高温度的加热处理(410℃)。
接着,用蒸镀掩模有选择地在像素电极554上共同蒸镀钼氧化物(MoOx)、4,4’-双[N-(1-萘基)-N-苯基-氨基]-联苯基(α-NPD)和红荧烯,以形成空穴注入层601。
再有,除了MoOx以外,还可采用铜酞菁(CuPc)、或钒氧化物(VOx)、钌氧化物(RuOx)、钨氧化物(WOx)等空穴注入性高的材料。另外,也可将用涂敷法使聚(亚乙二氧基噻吩)水溶液(PEDOT)或聚(苯乙烯磺酸)水溶液(PSS)等的空穴注入性高的高分子材料成膜后的层用作空穴注入层601。
接着,用蒸镀掩膜有选择地蒸镀α-NPD,在空穴注入层601之上形成空穴输运层602。再有,除了α-NPD以外,还可采用以4,4’-双[N-(3-甲基苯基)-N-苯基-氨基]-联苯(简称:TPD)、4,4’,4”-三偶(N,N-二苯基-氨基)-三苯胺(简称:TDATA)、4,4’,4”-三偶[N-(3-甲基苯基)-N-苯基-氨基]-三苯胺(简称:MTDATA)等芳香族胺类化合物为代表的空穴输运性高的材料。
接着,有选择地形成发光层603。为了制造全色显示器件,按每种发光色(R、G、B)进行蒸镀掩模的对准,分别有选择地进行蒸镀。
接着,用蒸镀掩模有选择地蒸镀Alq3(三(8-羟基喹啉)铝),在发光层603上形成电子输运层604。再有,除了Alq3以外,还可采用以三(5-甲基-8-羟基喹啉)铝(简称:Almq3)、双(10-羟基苯并[h]-喹啉)铍(简称:BeBq2)、双(2-甲基-8-羟基喹啉)-4-苯基苯酚-铝(简称:BAlq)等具有喹啉骨架或苯并喹啉骨架的金属络合物等为代表的电子输运性高的材料。另外,也可使用双[2-(2-羟苯基)-苯并恶唑]锌(简称:Zn(BOX)2)、双[2-(2-羟苯基)-苯并噻唑]锌(简称:Zn(BTZ)2)等的恶唑系、噻唑系配位子的金属络合物等。进而,除了金属络合物以外,由于2-(4-联苯基)-5-(4-三元醇-丁基苯基)-1,3,4-恶二唑(简称:PBD)及1,3-双[5-(p-三元醇-丁基苯基)-1,3,4-恶二唑-2-基]苯(简称:OXD-7)、3-(4-三元醇-丁基苯基)-4-苯基-5-(4-联苯基)-1,2,4-三唑(简称:TAZ)、3-(4-三元醇-丁基苯基)-4-(4-乙基苯基)-5-(4-联苯基)-1,2,4-三唑(简称:p-EtTAZ)、红菲绕啉(简称:BPhen)、浴铜灵(简称:BCP)等也具有高电子输运性,故可用作电子输运层604。
接着,共同蒸镀4,4-双(5-甲基苯恶唑-2-基)均二苯代已烯(简称:BzOs)和锂(Li),以覆盖电子输运层604及绝缘体581,在整个面上形成电子注入层605。通过使用苯并恶唑衍生物(BzOS),抑制了在其后的工序中所进行的形成第二电极583时使用的溅射法引起的损伤。再有,除了BzOs:Li以外,还可采用CaF2、氟化锂(LiF)、氟化铯(CsF)之类的碱金属或碱土类金属的化合物等的电子注入性高的材料。另外,也可采用Alq3与镁(Mg)的混合物。
接着,在电子注入层605之上,以膜厚10至800nm的范围形成第二电极583,即有机发光元件的阴极。作为第二电极583,除了铟锡氧化物合金(ITO)之外,还可采用例如含Si的铟锡氧化物合金或在氧化铟(InO)内混合了2至20atomic%的氧化锌(ZnO)的IZO(铟锌氧化物)。
在本实施例中说明的是制造两面射出型显示器件的例子,所以,使用透明电极形成第二电极583,但,在制造单面射出型显示器件的情况下,可以使用反射的导电材料形成第二电极583。作为这种导电材料,优选使用功函率低(功函率低于3.8eV)的金属、合金、电导性化合物、以及这些的混合物等。再有,作为第二电极583的材料的具体例子可以使用属于元素周期表的一族或二族的元素、即Li或Cs等的碱金属、以及Mg、Ca、Sr等的碱土金属、以及含有这些金属的合金(Mg:Ag、Al:Li)或化合物(LiF、CsF、CaF2),还可以使用含有稀土金属的过渡金属。此外,还可以使用过渡金属和Al、Ag等的金属(包括合金)的叠层来形成第二电极583。
通过以上步骤制造发光元件584。适当选择构成发光元件584的阳极554、有机化合物层582和阴极583的各个材料,并调整各个膜厚。优选对阳极和阴极采用相同的材料并且相同程度的膜厚,最好形成很薄的100nm左右的膜。
另外,如有必要,如图9B所示那样,形成覆盖发光元件584防止水分侵入的透明保护层585。作为透明保护层585,可采用利用溅射法或CVD法得到的氮化硅膜、氧化硅膜、含氧的氮化硅膜(组成比N>O)或含氮的氧化硅膜(组成比N<O)、以碳为主成分的薄膜(例如类金刚石碳膜(DLC膜)、氮化碳膜(CN膜))等。再有,图10是将图9B的一部分扩大的图。
另外,图21示出根据RGB分别制作像素部分的像素TFT的例子。在用作红色(R)的像素中,像素TFT544 R连接到像素电极554R,并且空穴注入层601R、空穴输运层602R、发光层603R、电子输运层604R、电子注入层605R、阴极583、透明保护层585被形成。
在用作绿色(G)的像素中,像素TFT544 G连接到像素电极554G,并且空穴注入层601 G、空穴输运层602 G、发光层603 G、电子输运层604 G、电子注入层605 G、阴极583、透明保护层585被形成。
在用作蓝色(B)的像素中,像素TFT544 B连接到像素电极554B,并且空穴注入层601 B、空穴输运层602 B、发光层603 B、电子输运层604 B、电子注入层605 B、阴极583、透明保护层585被形成。
作为显示红色发光的发光层603 R,采用Alq3:DCM或Alq3:红荧烯:BisDCJTM等材料。另外,作为显示绿色发光的发光层603 G,采用Alq3:DMQD(N,N’-二甲基喹吖酮)或Alq3:香豆灵6等材料。另外,作为显示蓝色发光的发光层603 B,采用α-NPD或tBu-DNA等的材料。
接着,通过在具有CMOS电路571的驱动电路部分595之上,设置含有用作确保衬底间隔的间隙材料的密封材料593,来将第二衬底591和衬底501贴合。第二衬底591可采用具有透光性的玻璃衬底或石英衬底。再有,在衬底501和591之间的像素部分596所设置的区域592中既可配置干燥剂作为空隙(惰性气体),又可充填透明的密封材料(紫外线固化或热固化的环氧树脂等)。
在发光元件中,由于用透光性材料形成像素电极554以及第二电极583,所以可从一个发光元件的两个方向,即从两面采光。
通过采取以上所示的面板结构,可使获取的来自上面的发光与来自下面的发光大体相同。
进一步,在衬底501及591的每个上边设置光学膜(偏振片或圆偏振片)597及598来提高对比度(图11)。
再有,在本实施例中,虽然假定TFT为顶栅型TFT,但不限定于该结构,适当地采用底栅型(逆交错型)TFT及顺交错型TFT也是可能的。另外,不限定于单栅型结构的TFT,也可以是具有多个沟道形成区的多栅型TFT、例如双栅型TFT。
根据本实施例制造的显示器件由于当形成像素电极554以及电极561至567时不产生微小的灰尘,所以可以防止像素电极554和其他导电膜之间的短路。由此,可以制造可靠性高的具有良好特性的显示器件。
另外,在本实施例中,描述了具有发光元件的显示器件,然而也可以通过与此相同的制造工序来制造液晶显示器(Liquid CrystalDisplay(LCD))。这种LCD可以根据本实施例用实施例2所示的TFT来形成像素部分或驱动电路部分。
另外,如有必要,本实施例也可与实施方式以及实施例1和2中的任何记述自由地组合。
实施例4
作为应用本发明的电子装置,可举出摄影机、数码相机、护目镜型显示器、导航系统、声音播放装置(车载音响组件等)、计算机、游戏机、便携式信息终端(便携式计算机、移动电话、便携式游戏机或电子书籍等)、配备了记录媒体的图像播放装置(具体地说,是配备了能够播放数字通用盘(DVD)等记录媒体并能显示其图像的显示器的装置)等。在图12、图13、图14A和14B、图15A和15B、图16、图17A至17E示出了这些电子装置的具体例子。
图12示出了组合显示面板5001和电路衬底5011来构成的EL模块。在电路衬底5011上形成控制电路5012或信号分割电路5013等,并且该电路衬底5011由连接布线5014电连接到显示面板5001。
该显示面板5001具有多个像素所被设置的像素部分5002、扫描线驱动电路5003、向被选择的像素供应视频信号的信号线驱动电路5004。EL模块的显示面板5001可以用实施例3所示的显示器件的制造方法来制造。
用图12所示的EL模块可以制造电视机。图13是示出电视机的主要结构的方框图。调谐器5101接收图像信号和音频信号。图像信号被图像信号放大器电路5102、将从该图像信号放大器电路5102输出的信号转换成对应于红、绿、蓝的各个颜色的颜色信号的图像信号处理电路5103、以及将该图像信号转换成满足驱动器IC的输入规范的控制电路5012处理。控制电路5012向扫描线侧和信号线侧两者分别输出信号。当采用数字式驱动时,可以为以下结构,即,信号分割电路5013可被设置在信号线侧,输入数字信号被分割为m个信号来供应。
调谐器5101所接收的信号中的音频信号被传输到音频信号放大器电路5105,并且其输出通过音频信号处理电路5106被供应到扬声器5107。控制电路5108从输入部分5109中接收接收站(接收频率)或音量的控制数据,并且将信号传输到调谐器5101或音频信号处理电路5106。
如图14A所示,通过将EL模块组合到外壳5201,可制造出电视机。EL模块构成显示屏5202,并且还适当地提供了诸如扬声器5203和操作开关5204等。
图14B是无线的其显示器可被单独移动的电视机。电池和信号接收器均内置于机壳5212中,用该电池驱动显示部分5213及扬声器部分5217。电池可用充电器5210反复充电。另外,充电器5210可发送接受图像信号,可将该图像信号发送给显示器的信号接收器。机壳5212用操作键5216控制。另外,图14B所示的装置由于可以通过操作操作键5216将信号从机壳5212送至充电器5210,从而也可以将其称为图像声音双向通信装置。另外,通过操作操作键5216将信号从机壳5212送至充电器5210,进而通过使充电器5210所发送的信号被其他电子装置接收,也可对其他电子装置的通信进行控制,因此其也可以被称为通用远程控制装置。本发明可应用于显示部分5213以及控制用电路部分等。
通过将本发明应用于图12、图13、图14A和14B所示的电视机,可以得到可靠性高的优越的电视机。
当然,本发明不局限于电视机,可被用于各种目的,诸如个人计算机的监视器、或者大面积显示媒介,诸如火车站或机场等的信息显示板,以及街道上的广告显示板等。
图15A示出组合显示面板5301和印刷电路板5302来构成的模块。显示面板5301具有多个像素所被设置的像素部分5303、第一扫描线驱动电路5304、第二扫描线驱动电路5305、向被选择的像素供应视频信号的信号线驱动电路5306。
在印刷电路板5302上安装有控制器5307、中央处理器件(CPU)5308、存储器5309、电源电路5310、声音处理电路5311以及发送接收电路5312等。印刷电路板5302由柔性布线衬底(FPC)5313连接到显示面板5301。还可以在印刷电路板5302中安装电容元件、缓冲电路等,以便防止电源电压或信号产生噪音或使信号导入迟钝。另外,控制器5307、声音处理电路5311、存储器5309、CPU 5308、电源电路5310等可以用COG(Chip On Glass)方式安装到显示面板5301。通过使用COG方式可以缩小印刷电路板5302的规模。
通过安装在印刷电路板5302上的接口(I/F)部分5314进行各种控制信号的输入和输出。并且,印刷电路板5302上设有用来进行与天线之间的信号的发送接收的天线用端口5315。
图15B表示图15A所示的模块的方框图。该模块含有作为存储器5309的VRAM5316、DRAM5317、闪存5318等。VRAM5316存储有在面板显示的图像的数据,在DRAM5317存储有图像数据或声音数据,在闪存存储有各种程序。
电源电路5310供应启动显示面板5310、控制器5307、CPU5308、声音处理电路5311、存储器5309、发送接收电路5312的电力。并且,根据面板的规格,也有在电源电路5310配备电流源的情况。
CPU5308包括控制信号生成电路5320、译码器5321、寄存器5322、计算电路5323、RAM5324、CPU5308用接口5329等。经由接口5329被输入到CPU5308的各种信号,暂时被寄存器5322保持后,就被输入到计算电路5323、译码器5321等。在计算电路5323,基于被输入的信号进行计算,并指定各种命令的发送地点。另一方面,被输入到译码器5321的信号被译码,并被输入到控制信号生成电路5320。控制信号生成电路5320基于被输入的信号,生成包含各种命令的信号,并送至计算电路5323所指定的地点,具体送至存储器5309、发送接收电路5312、声音处理电路5311、控制器5307等。
存储器5309、发送接收电路5312、声音处理电路5311、控制器5307根据各自接收到的命令工作。下面简单地说明其工作。
由输入装置5325输入的信号,经由接口5314被送至安装在印刷电路板5302上的CPU5308。控制信号生成电路5320,根据由瞄准机构(pointing device)或键盘等的输入装置5325送来的信号,将保存在VRAM5316的图像数据转换为规定格式,并送至控制器5307。
控制器5307,按照面板的规格对由CPU5308送来的含有图像数据的信号实施数据处理,并提供给显示面板5301。并且,控制器5307,以由电源电路5310输入的电源电压或由CPU5308输入的各种信号为基础,生成Hsync信号、Vsync信号、时钟信号CLK、交流电压(ACCont)、切换信号L/R并提供给显示面板5301。
发送接收电路5312在天线5328处处理作为电波被发送接收的信号,具体来说其包含有隔离器、带通滤波器、VCO(电压控制振荡器)、LPF(低通滤波器)、耦合器、平衡不平衡转换器等的高频电路。在发送接收电路5312处被发送接收的信号中的含有声音信息的信号根据CPU5308发出的命令,被送至声音处理电路5311。
根据CPU5308的命令被送来的含有声音信息的信号,在声音处理电路5311被解调为声音信号,并被送至扬声器5327。并且,由麦克风5326送来的声音信号,在声音处理电路5311被调制,并根据CPU5308发出的命令,被送至发送接收电路5312。
可以将控制器5307、CPU5308、电源电路5310、声音处理电路5311、存储器5309作为本实施例的组合件(package)安装。本实施例可以适用于除了隔离器、带通滤波器、VCO(电压控制振荡器)、LPF(低通滤波器)、耦合器、平衡不平衡转换器(balun)等的高频电路以外的任何电路。
图16表示包含图15A和15B所示的模块的便携式电话机(手机)的一个实例。显示面板5301以可拆卸的方式安装在外壳5330上。根据安装的显示面板的大小,外壳5330的形状和尺寸可以适当变化。固定有显示面板5301的外壳5330被嵌入印刷电路板5331以作为模块被组装。
显示面板5301经由FPC5313连接到印刷电路板5331。印刷电路板5331安装有扬声器5332、麦克风5333、发送接收电路5334、含有CPU以及控制器等的信号处理电路5335。组合这样的模块和输入装置5336、电池组5337、天线5340,并且使其收容在外壳5339中。通过调整配置显示面板5301的像素部分,以便能够从外壳5339的开口窗看到图像。
在本实施例中的手机根据其功能和用途可以更改为各种各样的形式。例如,设置多个显示面板,或将外壳适当地分割为多个并使用合页将手机做成开闭式的结构,也可以得到上述工作效果。
通过将本发明应用于图15A和15B以及图16所示的手机,可以得到具有高可靠性的优越的手机。
图17A表示EL显示器,包括框架6001、支撑台6002、显示部分6003等。可以通过使用图12所示的EL模块、图15A所示的显示面板的结构来将本发明应用于显示部分6003。
使用本发明,从而可以得到具有高可靠性、优越的显示器。
附图17B所示为计算机,包括主体6101、框架6102、显示部分6103、键盘6104、外部连接端口6105和指点鼠标6106等。可以通过使用图12所示的EL模块、图15A所示的显示面板的结构来将本发明应用于显示部分6103。
根据本发明,可以实现高可靠性的优越的计算机。
图17C是便携式计算机,包括主体6201、显示部分6202、开关6203、键盘6204、红外线端口6205等。可以通过使用图12所示的EL模块、图15A所示的显示面板的结构来将本发明应用于显示部分6202。
根据本发明,可以实现高可靠性的优越的计算机。
图17D是便携式游戏机,包括框架6301、显示部分6302、扬声器部分6303、操作键6304、记录媒体插入部分6305等。可以通过使用图12所示的EL模块、图15A所示的显示面板的结构来将本发明应用于显示部分6302。
根据本发明,可以实现高可靠性的优越的游戏机。
附图17E所示为具有记录媒体的便携式图像再现设备(具体为DVD再现装置),其包括主体6401、框架6402、显示部分A 6403、显示部分B 6404、记录媒体(比如DVD)读出部分6405、操作健6406、扬声器部分6407等。显示部分A 6403主要显示图像信息,而显示部分B 6404主要显示字符信息。本发明可以通过使用图12所示的EL模块、图15A所示的显示面板的结构而被应用于显示部分A 6403和显示部分B 6404。注意,具有记录媒体的图像再现设备包括家用游戏机等。
根据本发明,可以实现高可靠性的优良的图像再现设备。
此外,图12、图13、图14A和14B、图15A和15B、图16、图17A至17E所示的电子装置可以与使用本发明所制作的液晶显示器(LCD)组合来制作。可以通过将实施例2所述的TFT使用于像素部分或驱动电路中来制作这种LCD。
这些电子装置中所使用的显示器件可根据大小及强度或者使用目的,不仅可使用玻璃衬底,也可使用耐热性的塑料衬底。由此,可谋求进一步的轻量化。
再有,示于本实施例中的例子只不过是一例而已,本发明不限定于这些用途。
另外,如有必要,本实施例也可与实施方式以及实施例1至3中的任何记述自由地组合而付诸实施。

Claims (16)

1.一种半导体器件的制造方法,包括以下步骤:
形成透明导电膜;
在所述透明导电膜上形成第一导电膜;
在所述第一导电膜上形成第二导电膜;
使用包含氯的气体蚀刻所述第二导电膜;以及
使用包含氟的气体蚀刻所述第一导电膜,
其中,所述第一导电膜包含钼(Mo)、钨(W)、钽(Ta)、铬(Cr)、上述材料的氮化物、上述材料的合金中的任何一种。
2.根据权利要求1的半导体器件的制造方法,
其中,所述透明导电膜为氧化铟锡合金(ITO)膜、包含硅(Si)的氧化铟锡合金膜、氧化锌(ZnO)膜、氧化锡(SnO2)膜、氧化铟(InO)膜以及将2至20atomic%的氧化锌(ZnO)混合到氧化铟(InO)的氧化铟氧化锌(IZO)合金膜中的任何一种膜。
3.根据权利要求1的半导体器件的制造方法,
其中,所述第二导电膜为选自以下组中的任何一种膜:铝膜;包含镍(Ni)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素的铝合金膜;以及包含镍(Ni)、钴(Co)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素和碳(C)的铝合金膜。
4.根据权利要求1的半导体器件的制造方法,
其中,所述包含氯的气体为包含Cl2、BCl3、SiCl4和CCl4中的任何一种的气体。
5.根据权利要求1的半导体器件的制造方法,
其中,所述包含氟的气体为包含CF4、SF6和NF3中任何一种的气体。
6.根据权利要求1的半导体器件的制造方法,
其中,在所述透明导电膜上形成包括发光层的有机化合物层。
7.一种半导体器件的制造方法,包括以下步骤:
在具有绝缘表面的衬底上形成半导体膜;
形成绝缘膜并使其覆盖所述半导体膜;
在所述绝缘膜上形成透明导电膜;
在所述绝缘膜中形成接触孔;
在所述透明导电膜上和所述接触孔中形成第一导电膜;
在所述第一导电膜上形成第二导电膜;
使用包含氯的气体蚀刻所述第二导电膜;
使用包含氟的气体蚀刻所述第一导电膜,
其中,所述透明导电膜电连接到所述半导体膜,
所述第一导电膜包含钼(Mo)、钨(W)、钽(Ta)、铬(Cr)、上述材料的氮化物、上述材料的合金中的任何一种。
8.根据权利要求7的半导体器件的制造方法,
其中,所述透明导电膜为氧化铟锡合金(ITO)膜、包含硅(Si)的氧化铟锡合金膜、氧化锌(ZnO)膜、氧化锡(SnO2)膜、氧化铟(InO)膜以及将2至20atomic%的氧化锌(ZnO)混合到氧化铟(InO)的氧化铟氧化锌(IZO)合金膜中的任何一种膜。
9.根据权利要求7的半导体器件的制造方法,
其中,所述第二导电膜为选自以下组中的任何一种膜:铝膜;包含镍(Ni)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素的铝合金膜;以及包含镍(Ni)、钴(Co)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素和碳(C)的铝合金膜。
10.根据权利要求7的半导体器件的制造方法,
其中,所述包含氯的气体为包含Cl2、BCl3、SiCl4和CCl4中的任何一种的气体。
11.根据权利要求7的半导体器件的制造方法,
其中,所述包含氟的气体为包含CF4、SF6和NF3中任何一种的气体。
12.一种半导体器件的制造方法,包括以下步骤:
在具有绝缘表面的衬底上形成半导体膜;
形成绝缘膜并使其覆盖所述半导体膜;
在所述绝缘膜上形成透明导电膜;
在所述绝缘膜中形成接触孔;
在所述透明导电膜上和所述接触孔中形成第一导电膜;
在所述第一导电膜上形成第二导电膜;
使用包含氯的气体蚀刻所述第二导电膜;
使用包含氟的气体蚀刻所述第一导电膜;
在所述透明导电膜上形成包括发光层的有机化合物层,
其中,所述透明导电膜电连接到所述半导体膜,
所述第一导电膜包含钼(Mo)、钨(W)、钽(Ta)、铬(Cr)、上述材料的氮化物、上述材料的合金中的任何一种。
13.根据权利要求12的半导体器件的制造方法,
其中,所述透明导电膜为氧化铟锡合金(ITO)膜、包含硅(Si)的氧化铟锡合金膜、氧化锌(ZnO)膜、氧化锡(SnO2)膜、氧化铟(InO)膜以及将2至20atomic%的氧化锌(ZnO)混合到氧化铟(InO)的氧化铟氧化锌(IZO)合金膜中的任何一种膜。
14.根据权利要求12的半导体器件的制造方法,
其中,所述第二导电膜为选自以下组中的任何一种膜:铝膜;包含镍(Ni)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素的铝合金膜;以及包含镍(Ni)、钴(Co)、钼(Mo)、硅(Si)、钛(Ti)、钕(Nd)、铜(Cu)中至少一种元素和碳(C)的铝合金膜中的任何一种膜。
15.根据权利要求12的半导体器件的制造方法,
其中,所述包含氯的气体为包含Cl2、BCl3、SiCl4和CCl4中的任何一种的气体。
16.根据权利要求12的半导体器件的制造方法,
其中,所述包含氟的气体为包含CF4、SF6和NF3中任何一种的气体。
CNB2005101341926A 2004-12-27 2005-12-27 半导体器件的制造方法 Expired - Fee Related CN100490117C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004377688 2004-12-27
JP2004377688 2004-12-27

Publications (2)

Publication Number Publication Date
CN1815712A CN1815712A (zh) 2006-08-09
CN100490117C true CN100490117C (zh) 2009-05-20

Family

ID=36907799

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101341926A Expired - Fee Related CN100490117C (zh) 2004-12-27 2005-12-27 半导体器件的制造方法

Country Status (2)

Country Link
US (1) US7563658B2 (zh)
CN (1) CN100490117C (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7847904B2 (en) 2006-06-02 2010-12-07 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and electronic appliance
EP2131410B1 (en) * 2007-03-26 2018-11-28 Ulvac, Inc. Organic el element and organic el element manufacturing method
DE102008049188A1 (de) * 2008-09-26 2010-04-01 Osram Opto Semiconductors Gmbh Optoelektronisches Modul mit einem Trägersubstrat und einer Mehrzahl von strahlungsemittierenden Halbleiterbauelementen und Verfahren zu dessen Herstellung
US8716708B2 (en) 2011-09-29 2014-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9276128B2 (en) 2013-10-22 2016-03-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, method for manufacturing the same, and etchant used for the same
JP6725176B2 (ja) * 2016-10-31 2020-07-15 株式会社日立ハイテク プラズマエッチング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1429400A (zh) * 2000-04-11 2003-07-09 克里公司 用于在碳化硅中形成通孔的方法以及所获得的器件和电路
US6596571B2 (en) * 2000-06-07 2003-07-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
EP1343177A1 (en) * 2000-11-21 2003-09-10 Nippon Sheet Glass Co., Ltd. Transparent conductive film and its manufacturing method, and photoelectric transducer comprising it

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2734753B2 (ja) 1990-07-13 1998-04-02 三菱電機株式会社 位相シフトマスクの形成方法
JP3587537B2 (ja) 1992-12-09 2004-11-10 株式会社半導体エネルギー研究所 半導体装置
JP4472056B2 (ja) * 1999-07-23 2010-06-02 株式会社半導体エネルギー研究所 エレクトロルミネッセンス表示装置及びその作製方法
JP2001188240A (ja) 1999-12-28 2001-07-10 Sharp Corp 透明導電膜を有する電子装置
TW495854B (en) * 2000-03-06 2002-07-21 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
US6690437B2 (en) * 2000-04-18 2004-02-10 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device
US6858480B2 (en) * 2001-01-18 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
JP4079655B2 (ja) 2001-02-28 2008-04-23 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
SG160191A1 (en) 2001-02-28 2010-04-29 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
JP2002341525A (ja) * 2001-05-14 2002-11-27 Fuji Photo Film Co Ltd ポジ型フォトレジスト転写材料およびそれを用いた基板表面の加工方法
US6623653B2 (en) * 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
US20030076282A1 (en) 2001-10-19 2003-04-24 Semiconductor Energy Laboratory Co., Ltd. Display device and method for driving the same
JP2003195838A (ja) 2001-10-19 2003-07-09 Semiconductor Energy Lab Co Ltd 表示装置及びその駆動方法
US7038239B2 (en) 2002-04-09 2006-05-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
JP3989763B2 (ja) * 2002-04-15 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
TWI269248B (en) 2002-05-13 2006-12-21 Semiconductor Energy Lab Display device
TWI263339B (en) 2002-05-15 2006-10-01 Semiconductor Energy Lab Light emitting device and method for manufacturing the same
JP4683817B2 (ja) 2002-09-27 2011-05-18 株式会社半導体エネルギー研究所 半導体装置の作製方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1429400A (zh) * 2000-04-11 2003-07-09 克里公司 用于在碳化硅中形成通孔的方法以及所获得的器件和电路
US6596571B2 (en) * 2000-06-07 2003-07-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
EP1343177A1 (en) * 2000-11-21 2003-09-10 Nippon Sheet Glass Co., Ltd. Transparent conductive film and its manufacturing method, and photoelectric transducer comprising it

Also Published As

Publication number Publication date
CN1815712A (zh) 2006-08-09
US7563658B2 (en) 2009-07-21
US20070015307A1 (en) 2007-01-18

Similar Documents

Publication Publication Date Title
KR101057412B1 (ko) 반도체 장치 및 그 제작 방법
JP5976734B2 (ja) 表示装置
US7615488B2 (en) Method for forming pattern, thin film transistor, display device and method for manufacturing the same, and television device
US7033848B2 (en) Light emitting device and method of manufacturing the same
JP4879541B2 (ja) 表示装置の作製方法
TWI472261B (zh) 半導體裝置之製造方法
JP5639910B2 (ja) 半導体装置
US20060091397A1 (en) Display device and method for manufacturing the same
US7358165B2 (en) Semiconductor device and method for manufacturing semiconductor device
CN100490117C (zh) 半导体器件的制造方法
CN101455121B (zh) 制造半导体器件的方法
JP2011023755A (ja) 半導体装置の作製方法
JP2004282063A (ja) 半導体装置及びその作製方法
JP5201790B2 (ja) 半導体装置の作製方法
JP2006210890A (ja) 半導体装置の作製方法
JP4578877B2 (ja) 半導体装置及びその作製方法
JP5291866B2 (ja) 半導体装置の作製方法
JP2006041265A (ja) 半導体装置の作製方法
JP2004128217A (ja) 薄膜トランジスタ及びその作製方法
JP2004327977A (ja) 薄膜トランジスタ及びその作製方法
JP2006012972A (ja) 薄膜トランジスタの作製方法
JP2006080514A (ja) 表示装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090520

Termination date: 20171227