KR101011841B1 - 트리아진 화합물을 포함하는 반사 방지 조성물 - Google Patents

트리아진 화합물을 포함하는 반사 방지 조성물 Download PDF

Info

Publication number
KR101011841B1
KR101011841B1 KR1020057006597A KR20057006597A KR101011841B1 KR 101011841 B1 KR101011841 B1 KR 101011841B1 KR 1020057006597 A KR1020057006597 A KR 1020057006597A KR 20057006597 A KR20057006597 A KR 20057006597A KR 101011841 B1 KR101011841 B1 KR 101011841B1
Authority
KR
South Korea
Prior art keywords
coating composition
antireflective coating
acid
compound
resin
Prior art date
Application number
KR1020057006597A
Other languages
English (en)
Other versions
KR20050074962A (ko
Inventor
토모유키 에노모토
케이스케 나카야마
라마 풀리가다
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20050074962A publication Critical patent/KR20050074962A/ko
Application granted granted Critical
Publication of KR101011841B1 publication Critical patent/KR101011841B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31938Polymer of monoethylenically unsaturated hydrocarbon

Abstract

본 발명은 히드록시메틸기 및/또는 알콕시메틸기를 치환하는 두 개 이상의 질소 원자를 갖는 트리아진 화합물로 이루어진 수지와, 흡광 화합물 및/또는 흡광 수지를 포함하는 것을 특징으로 하는 반사 방지 코팅 조성물에 관한 것이다. 본 발명은 반도체 소자의 제조에서 포토리소그래피 공정에서 사용되는 광의 흡수 특성이 높고, 반사광 방지 효과를 나타내고, 예전보다 더욱 얇은 두께로 사용되고, 포토레지스트 층과 비교하여 식각 속도가 높은 반사 방지 코팅층을 형성하기 위한 반사 방지 코팅 조성물을 제공한다.
반사 방지, 반도체 소자, 포토리소그래피, 트리아진, 흡광, 포토레지스트, 식각

Description

트리아진 화합물을 포함하는 반사 방지 조성물{ANTI-REFLECTIVE COMPOSITIONS COMPRISING TRIAZINE COMPOUNDS}
본 발명은 배경 기판으로부터 반사의 부작용을 감소시키는데 효과적인 반사 방지 코팅 조성물 및 이러한 반사 방지 코팅 조성물을 이용하여 포토레지스트 패턴을 형성하는 방법에 관한 것이다.
반도체 소자의 제조를 위하여 포토레지스트 조성물을 이용하는 리소그래피에 의한 정밀 가공이 수행되어 왔다. 이러한 정밀 가공은 실리콘 웨이퍼상에 포토레지스트 조성물으 막을 형성하고, 반도체 소자의 패턴이 그려진 마스크 패턴을 통해 UV 광과 같은 활성광을 조사하고, 이와 같이 얻어진 포토레지스트 패턴의 실리콘 웨이퍼를 현상 및 식각 처리하는 것을 포함하는 가공 방법이다, 그러나, 최근 몇 년 동안에 반도체 소자의 집적도가 증가하여 왔으며 사용되는 활성광은 KrF 엑시머 레이저(248 nm)로부터 ArF 엑시머 레이저(193 nm)의 단파장으로 향하는 추세를 보이고 있다, 이러한 과정에 따라, 기판으로부터 활성광의 불규칙한 반사 및 정지파의 작용이 주된 관심사가 된다. 따라서, 포토레지스트와 기판 사이에 바닥부 반사 방지 코팅층을 제공하는 방법이 광범위하게 연구되어 왔다.
티타늄, 이산화티타늄, 산화크롬, 탄소, α-크롬 등과 같은 것으로 이루어진 무기 바닥부 반사 방지 코팅제 및 흡광 물질 및 중합체 화합물로 이루어진 유기 바닥부 반사 방지 코팅제가 알려져 있다. 전자의 경우는 진공 증착 설비, CVD 장치, 스퍼터링 장치와 같은 설비를 필요로하는 반면에, 후자의 경우는 어떠한 특별한 설비도 필요하지 않다는 장점이 있으므로, 여러가지 연구가 수행되어 왔다. 이의 예로는 미합중국 특허 제 5919599 호에 개시된 바와 같이 동일 분자내에서 가교 형성 작용기로서 히드록시기 및 흡광기를 갖는 아크릴 수지형 반사 방지 코팅제 및 미합중국 특허 제 5693691 호에 개시된 바와 같이 동일 분자내에서 가교 형성 작용기로서 히드록시기 및 흡광기를 갖는 노볼락 수지형 바닥부 반사 방지 코팅제가 있다.
상기 유기형 바닥부 반사 방지 코팅제의 바람직한 물성은 광 또는 조사선에 대한 흡광도가 높고, 포토레지스트 층과의 상호 혼합이 없으며(레지스트 용매에서 불용성), 가열 또는 가열 건조시 바닥부 반사 방지 코팅제로부터 상부 레지스트내로 작은 분자의 확산이 없으며, 레지스트와 비교하여 높은 건식 에칭 속도를 갖는 다는 것이다. 이러한 물성은 다음 문헌[Proc. SPIE, Vol. 3678, 174-185 (1999), Proc. SPIE, Vol. 3678, 800-809 (1999), Proc. SPIE, Vol. 2195, 225-229 (1994)]에 기재되어 있다.
레지스트 패턴 규모의 소형화에 따라, 더묵 얇은 포토레지스트 막이 사용되어야 한다. 따라서, 유기 바닥부 반사 방지 코팅제의 식각 제거 시간을 크게 단축시키는 것이 요구되고, 예전보다 더욱 얇은 두께로 사용될 수 있거나 또는 예전보다 포토레지스트와 비교하여 더욱 큰 식각 선택비를 갖는 유기 바닥부 반사 방지 코팅제가 요구되어 왔다.
미합중국 특허 제 5756255호, 5948847호, 6284428호 및 미합중국 특허 출원 2002/0055064호에는 트리아진 화합물을 포함하는 반사 방지 코팅 조성물이 개시도어 있다.
본 발명의 목적은 반도체 소자의 제조에서 리소그래피 공정용 반사 방지 코팅 조성물을 제공함에 있다.
본 발명의 또 다른 목적은 정밀 가공에 사용되는 248 nm, 193 nm 또는 157 nm의 노광의 반사광을 기판으로부터 흡수하고 포토레지스트 층과의 상호혼합이 없으며, 우수한 포토레지스트 패턴을 형성할 수 있으며, 포토레지스트와 비교하여 높은 건식 식각 속도를 갖는 반사 방지 코팅층을 제공함에 있다.
본 발명의 또 다른 목적은 반사 방지 코팅 조성물을 이용하여 포토레지스트 패턴을 형성하는 방법을 제공함에 있다.
본 발명의 첫 번째 주제는
반사 방지 코팅 조성물로서,
(A) 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나, 또는 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 트리아진 화합물로 이루어지고 중량 평균 분자량이 5000 이상인 수지와,
(B) 흡광 화합물 및 흡광 수지 중에서 어느 한 가지를 포함하거나, 또는 흡광 화합물 및 흡광 수지 두 가지를 모두 포함하는 반사 방지 코팅 조성물에 관한 것이다.
삭제
삭제
본 발명의 두 번째 주제는 상기 첫 번째 주제에 따른 반사 방지 코팅 조성물에 관한 것으로, 상기 수지가 멜라민 화합물 및 벤조구아나민 화합물로부터 선택되는 것인 반사 방지 코팅 조성물에 관한 것이다.
세 번째 주제는 상기 첫 번째 주제에 따른 반사 방지 코팅 조성물에 관한 것으로, 상기 수지는 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나, 또는 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 멜라민 화합물과, 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나 또는 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 벤조구아나민 화합물로 이루어지고 중량 평균 분자량이 5000-50000인 반사 방지 코팅 조성물에 관한 것이다.
네 번째 주제는 상기 첫번째 주제에 따른 반사 방지 코팅 조성물에 관한 것으로, 상기 수지가 헥사메톡시메틸멜라민 및 테트라메톡시메틸 벤조구아나민으로 이루어지고, 7000-30000의 중량 평균 분자량을 갖는 반사 방지 코팅 조성물에 관한 것이다.
다섯 번쩨 주제는 상기 첫 번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 코팅 조성물에 관한 것으로, 상기 흡광 화합물이 나프탈렌 화합물 및 안트라센 화합물로 구성되는 군에서 선택되는 것인 반사 방지 코팅 조성물에 관한 것이다.
여섯 번째 주제는 상기 첫 번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 코팅 조성물에 관한 것으로, 상기 흡광 화합물이 9-히드록시메틸안트라센인 반사 방지 코팅 조성물에 관한 것이다.
일곱 번째 주제는 상기 첫 번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 조성물에 관한 것으로, 상기 흡광 수지가 벤젠 고리 구조, 나프탈렌 고리 구조 및 안트라센 고리 구조로 구성되는 군에서 선택되는 하나 이상의 고리 구조를 갖는 것인 반사 방지 코팅 조성물에 관한 것이다.
여덟 번째 주제는 상기 첫 번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 코팅 조성물에 관한 것으로, 산 및 산 발생제 중에서 어느 한 가지, 또는 산 및 산 발생제 두 가지 모두를 추가로 함유하는 조성물에 관한 것이다.
아홉 번째 주제는 상기 첫 번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 코팅 조성물에 관한 것으로, 상기 산이 지방족 카르복시산, 지방족 설폰산, 방향족 카르복시산, 방향족 설폰산으로 구성되는 군에서 선택되는 최소한 하나의 산인 조성물에 관한 것이다.
열 번째 주제는 상기 첫 번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 코팅 조성물에 관한 것으로, 단위체내에서 하나 이상의 가교가능한 치환체를 갖는 단위체를 갖는 수지를 추가로 함유하는 조성물에 관한 것이다.
열 한 번째 주제는 상기 첫번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 코팅 조성물에 관한 것으로, 상기 성분 (A)의 양이 성분 (A) 및 (B)의 전체 중량에 대하여 50 내지 99 중량%인 조성물에 관한 것이다.
열 두 번째 주제는 반도체 소자를 제조하기 위한 리소그래피 공정용 반사 방지 코팅층을 형성하기 위한 방법으로서, 상기 코팅층은 상기 첫번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 코팅 조성물을 기판상에 도포하고 베이킹함으로써 얻어지는 것인 방법에 관한 것이다.
열 세 번째 주제는 반도체 소자를 제조하는 방법으로서, 상기 첫 번째 내지 네 번째 주제중 어느 하나에 따른 반사 방지 코팅 조성물을 기판상에 도포하고, 이를 베이킹하여 반사 방지 코팅층을 형성하고, 상기 코팅층에 포토레지스트를 피복하고, 상기 반사 방지 코팅층 및 포토레지스트가 피복된 기판을 노광하고, 에칭하여 상기 기판상에 이미지를 현상 및 전사하여 집적 회로 소자를 형성하는 것을 포함하는 방법에 관한 것이다.
본 발명은 트리아진 화합물 및 흡광 화합물을 포함하는 것을 특징으로 하는 반사 방지 코팅 조성물에 관한 것이다. 또한, 본 발명은 KrF 엑시머 레이저(248 nm 파장), ArF 엑시머 레이저(193 nm 파장) 및 F2 엑시머 레이저(157 nm 파장)과 같은 단파장 노광을 이용하여 반도체 소자를 제조하기 위한 리소그래피 공정에서 사용되는 반사 방지 코팅 조성물에 관한 것이다.
본 발명의 반사 방지 코팅 조성물은 (A) 히드록시메틸기 및/또는 알콕시메틸기를 치환하는 두 개 이상의 질소 원자를 갖는 트리아진으로 이루어지고 중량 평균 분자량이 5000 이상인 수지와, (B) 흡광 화합물 및/또는 흡광 수지와, 용매를 포함한다. 또한 상기 조성물은 산, 산 발생제, 계면활성제 등과 같은 임의적 성분을 함유한다. 본 발명의 반사 방지 코팅 조성물의 건조 고체 함량은 0.1-50 중량%, 바람직하게는 0.5-30 중량% 이다.
본 발명의 반사 방지 조성물의 성분 (A)는 히드록시메틸기 및/또는 알콕시메틸기를 치환하는 두 개 이상의 질소 원자를 갖는 트리아진 화합물로 이루어진 수지이다.
사용되는 코팅 용매, 용액 점도, 막 구조 등에 따라 다르긴 하지만, 성분 (A)의 수지의 분자량은 반사 방지 코팅을 형성하기 위한 베이킹 공정 동안에 저분자량 성분의 승화에 의하여 공정에 사용되는 장치가 오염되는 것을 방지하고 포토레지스트 노광후에 수행되는 베이킹 공정 동안 저분자량 성분이 포토레지스트 층내로 확산됨으로써 포토레지스트가 오염되는 것을 방지하기 위하여 분자량이 높은 것이 바람직하다. 그러나, 성분 (A)의 분자량이 너무 높은 것은 바람직하지 못한데, 너무 높은 분자량을 갖는 수지를 성분 (A)로 사용하는 것은 기판, 특히 단차, 홀 등을 갖는 기판상에 반사 방지 조성물을 코팅하는 특성에 부정적인 영향을 미칠 수 있기 때문이다. 따라서, 성분 (A)의 수지의 중량 평균 분자량은 5000 이상, 바람직하게는 5000-100000, 5000-50000, 더욱 바람직하게는 7000-30000 이다.
성분 (A)의 수지를 제조하기 위해 사용되는 트리아진 화합물의 예로는 질소 원자를 갖는 것으로 수소 원자가 히드록시메틸기 또는 알콕시메틸기 또는 둘 모두로 치환되는 멜라민 및 벤조구아나민이 있다.
이러한 멜라민 및 벤조구아나민 화합물은 예를 들어 멜라민 및 벤조구아나민을 비등수에서 포르말린과 반응시켜서 히드록시메틸화함으로써 얻어진다. 이러한 반응에서, 수산화나트륨, 수산화칼륨, 수산화사메틸암모늄과 같은 염기 촉매가 사용될 수 있다. 알콕시메틸화 멜라민 및 벤조구아나민 화합물은 히드록시메틸화 멜라민 및 벤조구아나민 화합물을 메탄올, 에탄올, 이소프로필알콜, 노르말 헥사놀과 같은 알콜과 반응시켜서 얻을 수 있다. 이러한 반응에서, 염산, 황산, 메탄설폰산 등과 같은 산 촉매를 이용할 수 있다.
이러한 멜라민 및 벤조구아나민 화합물의 예로는 Cymel300, Cymel303, Cymel325, Cymel725 로서 상업적으로 이용가능한 메톡시메틸화 멜라민 화합물, Cymel370, Cymel701로서 상업적으로 이용가능한 메틸화 메톡시메틸화 멜라민 화합물, Cymel266, Cymel285, Cymel212로서 상업적으로 이용가능한 메톡시메틸화 부톡시메틸화 멜라민 화합물, Cymel272, Cymel202로서 상업적으로 이용가능한 메틸화 메톡시메틸화 멜라민 화합물, Cymel1238로서 상업적으로 이용가능한 메톡시메틸화 이소부톡시메틸화 멜라민 화합물, Mycoat506으로 상업적으로 이용가능한 부톡시메틸화 멜라민 화합물, Cymel1123으로 상업적으로 이용가능한 메톡시메틸화 에톡시메틸화 벤조구아나민 화합물, Cymel1123-10, Mycoat130으로 상업적으로 이용가능한 메톡시메틸화 부톡시메틸화 벤조구아나민 화합물, Mycoat105, Mycoat106으로 상업적으로 이용가능한 메톡시메틸화 벤조구아나민 화합물, Cymel128로서 상업적으로 이용가능한 부톡시메틸화 벤조구아나민 화합물, 및 Mycoat102로서 상업적으로 이용가능한 메틸화 메톡시메틸화 벤조구아나민 화합물이 있는데, 이들은 모두 Mitui Cyanamid Co., Ltd의 제품이다.
상기 성분(A)의 수지는 전술한 트리아진 화합물들중 한 종류 또는 두 종 이상의 트리아진 화합물을 축합 반응 시켜서 얻을 수 있다. 상기 수지는 미합중국 특허 제 6323310호에 기재된 방법을 이용하여 얻을 수 있다. 예를 들어, 헥사메톡시메틸멜라민 화합물 및 테트라메톡시벤조구아나민 화합물을 유기용매에서 가열하여 축합하여 수지를 얻는다. 이러한 축합 반응에 사용되는 유기 용매의 예로는 에틸 락테이트, 에틸렌 글리콜 메틸 에테르, 에틸렌 글리콜 에틸 에테르, 메틸 셀룰로오스 아세테이트, 에틸 셀룰로오스 아세테이트, 디에틸렌 글리콜 메틸 에테르, 디에틸렌 글리콜 에틸 에테르, 프로필렌 글리콜, 프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 메틸 에테르 아세테이트, 프로필렌 글리콜 프로필 에테르 아세테이트, 톨루엔, 크실렌, 메틸 에틸 케톤, 시클로펜타논, 시클로헥사논, 2-히드록시-2-메틸프로피온산 에틸 에테르, 에틸아세트산 에틸 에스테르, 히드록시아세트산 에틸 에스테르, 2-히드록시-3-메틸부탄산 메틸 에스테르, 3-메톡시프로피온산 메틸 에스테르, 3-메톡시프로피온산 에틸 에스테르, 피루브산 메틸 에스테르, 프루브산 메틸 에스테르, 에틸 락테이트, 부틸 아세테이트, 및 부틸 락테이트가 있다. 이러한 축합 반응에서, p-톨루엔 설폰산, 살리실산, 설포살리실산, 시트르산, 벤조산, 히드록시벤조산, 메탄설폰산, 트리플루오로아세트산, 및 트리플루오로메탄설폰산과 같은 산 화합물을 촉매로 이용할 수 있다. 촉매를 이용하는 경우, 촉매의 양은 사용되는 트리아진 화합물과 촉매의 총 중량을 기준으로 0.001 내지 50 중량% 이다. 축합 반응 시간은 0.5 내지 100 시간이고, 반응 온도는 25-200 ℃이지만, 이러한 조건은 사용되는 트리아진 화합물의 종류, 원하는 수지의 중량 평균 분자량 등에 따라 변할 수 있다.
전술한 반응에 의해 얻어지는 본 발명의 성분 (A)의 수지는 -CH2- 연결기 및 -CH2-O-CH2- 연결기를 통해 각각의 트리아진 부분들이 결합되어 있는 구조를 갖는다. 예를 들어, 헥사메톡시메틸멜라민과 테트라메톡시벤조구아나민의 반응으로부터 얻은 수지는 하기 화학식 1의 구조를 가지며, 테트라메톡시벤조구아나민으로부터 얻은 수지는 X가 -CH2- 또는 -CH2-O-CH2-를 나타내는 것인 하기 화학식 2의 구조를 갖는다.
Figure 112005019768437-pct00001
Figure 112005019768437-pct00002
이러한 수지는 본 발명에 따른 반사 방지 코팅 조성물의 성분 (A)의 경우 단독으로 또는 2 종 이상의 성분 (A)의 조합으로 사용될 수 있다. 본 발명의 반사 방지 코팅 조성물에서 성분 (A)의 함량은 성분 (A)와 성분(B)의 총 중량을 기준으로 50-99 중량%, 바람직하게는 70-95 중량% 이다.
본 발명의 반사 방지 코팅 조성물은 흡광 화합물 및/또는 흡광 수지(성분(B))를 함유한다. 본 발명에서 얻어질 수 있는 반사 방지 코팅층상에 제공되는 포토레지스트 층에 함유된 감광성 성분의 감광성 특성 영역에서의 파장의 광에 대하여 높은 흡수 능력을 나타내고 기판에서 빛의 반사로 인한 정지파가 기판 표면의 높이의 변화로 인해 부작용 또는 불규칙한 반사를 일으키는 것을 방지할 수 있는 화합물 및 수지가 성분 (B)의 흡광 화합물 및 흡광 수지로 이용된다.
본 발명의 반사 방지 코팅 조성물로 형성된 반사 방지 코팅층의 굴절율 및 광흡수 인자(optical absorption factor)는 성분(B)에서 사용되는 흡광 화합물 및 흡광 수지의 종류 및 양을 선택함으로써 조절할 수 있다.
흡광 화합물의 예로는 벤조페논 화합물, 벤조트리아졸 화합물, 아조 화합물, 나프탈렌 화합물, 안트라센 화합물, 안트라퀴논 등이 있다. 나프탈렌 화합물 및 안트라센 화합물이 바람직하고, 하나 이상의 히드록시기, 아미노기 또는 카르복시기를 갖는 나프탈렌 화합물 및 하나 이상의 히드록시기, 아미노기 또는 카르복시기를 갖는 안트라센 화합물이 더욱 바람직하다. 이러한 흡광 화합물은 단독으로 또는 두 종 이상의 조합으로 사용할 수 있다.
하나 이상의 히드록시기, 아미노기 또는 카르복시기의 예로는 1-나프탈렌카르복시산, 2-나프탈렌카르복시산, 1-나프톨, 2-나프톨, 1-아미노나프탈렌, 1-히드록시-2-나프토산, 1-히드록시-2-나프토산, 3-히드록시-2-나프토산, 6-히드록시-1-나프토산, 3,7-디히드록시-2-나프토산, 6-브로모-2-나프톨,1,2-나프탈렌디카르복시산, 1,3-나프탈렌디카르복시산, 1,4-나프탈렌디카르복시산, 1,5-나프탈렌디카르복시산, 1,6-나프탈렌디카르복시산, 1,7-나프탈렌디카르복시산, 1,8-나프탈렌디카르복시산, 2,3-나프탈렌디카르복시산, 2,6-나프탈렌디카르복시산, 1,2-디히드록시나프탈렌, 1,5-디히드록시나프탈렌, 2,3-디히드록시나프탈렌, 2,6-디히드록시나프탈렌, 1,2-디아미노나프탈렌, 1,6-디아미노나프탈렌, 2,3-디아미노나프탈렌, 2,6-디아미노나프탈렌, 1-브로모-2-히드록시-3-나프토산, 1,6-디브로모-2-히드록시-3-나프토산, 3-히드록시-7-메톡시-2-나프토산, 1-아미노-2-나프톨, 1,4,5,7-나프탈렌테 트라카르복시산, 3,5-디히드록시-2-나프토산, 2-에톡시-1-나프토산, 2,6-디클로로-1-나프톨, 에틸 3-히드록시-2-나프토에이트, 메틸 3-히드록시-7-메톡시-2-나프토에이트, 메틸 3,7-디히드록시-2-나프토에이트, 2,4-디브로모-1-나프톨, 6-아세톡시-2-나프토산, 2,6-디브로모-1,5-디히드록시나프탈렌, 1-아세틸-2-나프톨 등이 있다.
하나 이상의 히드록시기, 아미노기 또는 카르복시기를 갖는 안트라센 화합물의 예로는 9-안트라센카르복시산, 9-히드록시메틸안트라센, 1-아미노안트라센, 1,8,9-트리히드록시안트라센 등이 있다.
흡광 수지의 예로는 그 구조내에서 안트라센 고리, 나프탈렌 고리, 벤젠 고리, 퀴놀린 고리, 퀴녹살린 고리, 티아졸 고리 등을 갖는 폴리에스테르 수지, 폴리아미드 수지, 폴리스티렌, 노볼락 수지, 폴리아세탈 수지, (메틸)아크릴 수지 등이 있다. 안트라센 고리, 나프탈렌 고리 또는 벤젠 고리를 갖는 노볼락 수지 및 (메틸)아크릴 수지가 바람직하다. 예를 들어, 아래에 도시된 단위 구조체를 갖는 수지가 바람직하다.
Figure 112005019768437-pct00003
이러한 흡광 수지는 단독으로 또는 두 종 이상의 조합으로 사용될 수 있고, 흡광 화합물 및 흡광 수지는 조합으로 사용될 수 있다.
본 발명의 반사 방지 코팅 조성물에서 성분 (B)의 함량은 성분 (A)와 성분 (B)의 총 중량을 기준으로 1-50 중량%, 바람직하게는 5-30 중량% 이다.
본 발명의 반사 방지 코팅 조성물은 산 및/또는 산발생제를 추가로 함유할 수 있다. 이러한 산 또는 산 발생제의 예로는 아세트산, 메탄설폰산, 트리플루오로아세트산, 트리플루오로메탄설폰산, 벤조산, 톨루엔설폰산, 피리디늄 p-톨루엔설포네이트, 살리실산, 설포살리실산, 시트르산, 히드록시벤조산 등과 같은 산 화합 물, 2,4,4,6-테트라브로모시클로헥사디엔온, 벤조인 토실레이트, 2-니트로벤질 토실레이트, 유기설폰산 알킬 에스테르 등과 같은 열산 발생제, 비스(p-3차-부틸페닐)아이오도늄 틀리플루오로메탄설포네이트, 트리페닐설포늄 트리플루오로메탄설포네이트, 벤조인 토실레이트, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, N-히드록시숙신이미드 트리플루오로메탄설포네이트 등과 같은 광산 발생제가 있다. 이러한 산 및 산 발생제는 단독으로 또는 둘 이상의 조합으로 사용될 수 있다. 본 발명의 반사 방지 코팅 조성물에서 산 및/또는 산 발생제의 함량은 성분 (A)와 (B)의 총 중량을 기준으로 30 중량% 이하, 바람직하게는 0.01-20 중량% 이다.
본 발명의 반사 방지 조성물은 그 단위체에서 하나 이상의 가교가능한 치환체를 갖는 단위 구조체를 갖는 수지를 추가로 함유할 수 있다. 이러한 가교가능한 치환체의 예로는 히드록시기, 카르복시기, 아미노기 등이 있다.
단위체내에서 하나 이상의 가교가능한 치환체를 갖는 단위 구조체를 갖는 수지의 예로는 페놀 화합물로부터 유도된 수지, 히드록시스티렌 단위 구조체를 갖는 수지, 2-히드록시에틸 메타크릴레이트, 2-히드록시프로필메타크릴레이트, 2-히드록시에틸아크릴레이트, 2-히드록시프로필 아크릴레이트 등과 같이 단위체내에서 하나 이상의 히드록시기를 갖는 (메타)아크릴산 단량체 단위체를 갖는 수지, (메타)아크릴산 단위 구조체를 갖는 수지, 2-히드록시에틸 비닐 에테르 단위 구조체를 갖는 수지 등이 있다.
이러한 수지의 예로는 브롬화 노볼락 수지, 폴리히드록시스티렌, 히드록시스 티렌과 메틸 메타크릴에이트의 공중합체, 히드록시스티렌과 스티렌의 공중합체, 히드록시스티렌과 2-클로로에틸 메타크릴레이트의 공중합체, 히드록시스티렌과 2,2,2-트리클로로에틸 메타크릴레이트의 공중합체, 히드록시스티렌과 2-플루오로에틸 메타크릴레이트의 공중합체, 히드록시스티렌과 2,2,2-트리플루오로에틸 메타크릴레이트의 공중합체, 히드록시스티렌과 글리시딜 메타크릴레이트의 공중합체, 히드록시스티렌과 2-클로로에틸 아크릴레이트의 공중합체, 히드록시스티렌과 2,2,2-트리플루오로에틸 아크렐레이트의 공중합체, 폴리 2-히드록시에틸 메타크릴레이트, 2-히드록시에틸 메타크릴레이트와 스티렌의 공중합체, 2-히드록시에틸 메타크릴레이트와 히드록시스티렌의 공중합체, 2-히드록시에틸 메타크릴레이트와 메틸 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 글리시딜 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 2,2,2-트리플루오로에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 2-플루오로에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 벤질 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 이소프로필 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 2,2,2-트리클로로에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 2,2,2-트리브로모에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 2-브로모에틸 메타크렐레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 2-히드록시프로필 메타크릴레이트의 공중합체, 2-히드록시에틸 메타크릴레이트와 말레이미드의 공중합체, 2-히드록시에틸 메타크릴레이트와 말레산 무수물의 공중합체, 2-히드록시에틸 메타크릴레이트와 메틸 비 닐 에테르의 공중합체, 폴리 2-히드록시프로필메타크릴레이트, 2-히드록시프로필 메타크릴레이트와 스티렌의 공중합체, 2-히드록시프로필 메타크릴레이트와 메틸 메타크릴레이트의 공중합체, 2-히드록시프로필 메타크릴레이트와 2,2,2-틀리플루오로에틸 메타크릴레이트의 공중합체, 2-히드록시프로필 메타크릴레이트와 플루오로에틸 메타크릴레이트의 공중합체, 2-히드록시프로필 메타크릴레이트와 벤질 메타크릴레이트의 공중합체, 2-히드록시프로필 메타크릴레이트와 이소프로필 메타크릴레이트의 공중합체, 2-히드록시프로필 메타크릴레이트와 2,2,2-트리클로로에틸 메타크릴레이트의 공중합체, 2-히드록시프로필 메타크릴레이트와 2,2,2-트리브로모에틸 메타크릴레이트의 공중합체, 2-히드록시프로필 메타크릴레이트와 말레이미드의 공중합체, 2-히드록시프로필 메타크릴레이트와 말레산 무수물의 공중합체, 2-히드록시프로필 메타크릴레이트와 메틸 비닐 에테르의 공중합체, 폴리아크릴산, 아크릴산과 스티렌의 공중합체, 아크릴산과 히드록시스티렌의 공중합체, 아크릴산과 메틸 메타크릴레이트의 공중합체, 아크릴산과 글리시딜 메타크릴레이트의 공중합체, 아크릴산과 2,2,2-트리플루오로에틸 메타크릴레이트의 공중합체, 아크릴산과 2-플루오로에틸 메타크릴레이트의 공중합체, 아크릴산과 벤질 메타크릴레이트의 공중합체, 아크릴산과 이소프로필 메타크릴레이트의 공중합체, 아크릴산과 2,2,2-트리클로로에틸 메타크릴레이트의 공중합체, 아크릴산과 2,2,2-트리브로모에틸 메타크릴레이트의 공중합체, 아크릴산과 2-브로모에틸 메타크릴레이트의 공중합체, 아크릴산과 말레이미드의 공중합체, 아크릴산과 말레산 무수물의 공중합체, 아크릴산과 메틸 비닐 에테르의 공중합체, 2-히드록시에틸 비닐 에테르와 스티렌의 공중합체, 2-히드록시에틸 비닐 에테르와 히드록시스티렌의 공중합체, 2-히드록시에틸 비닐 에테르와 메틸 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 글리시딜 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 2,2,2-트리플루오로에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 2-플루오로에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 벤질 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 이소프로필 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 2,2,2-트리클로로에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 2-트리클로로에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 2,2,2-트리브로모에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 2-브로모에틸 메타크릴레이트의 공중합체, 2-히드록시에틸 비닐 에테르와 말레이미드의 공중합체, 2-히드록시에틸 비닐 에테르와 말레산 무수물의 공중합체, 2-히드록시에틸 비닐 에테르와 메틸 비닐 에테르의 공중합체 등이 있다. 단위체 내에서 하나 이상의 가교가능한 치환체를 갖는 단위 구조체를 갖는 이러한 수지는 단독으로 또는 두 종 이상의 조합으로 사용될 수 있다. 본 발명의 반사 방지 코팅 조성물에서 상기 수지의 함량은 성분 (A)와 (B)의 총 중량을 기준으로 50 중량% 이하, 바람직하게는 0.5-30 중량% 이다. 본 발명의 반사 방지 코팅 조성물로 형성된 반사 방지 코팅층의 굴절율, 광흡수 인자(optical absorption factor) 및 식각 속도는 상기 수지의 종류 및 양을 선택하여 조절할 수 있다.
또한 필요한 경우, 레올로지 조절제, 공동 접착제, 계면활성제 등이 본 발명 의 반사 방지 코팅 조성물에 첨가될 수 있다.
레올로지 조절제는 반사 방지 코팅 조성물의 유동성을 개선하여 특히 베이킹 공정에서 홀의 내부로 반사방지 코팅 조성물의 매립성을 개선하기 위하여 첨가된다. 구체적인 예로는 디메틸 프탈레이트, 디에틸 프탈레이트, 디이소부틸 프탈레이트, 디헥실 프탈레이트, 부틸이소데실 프탈레이트 등과 같은 프탈산 화합물, 디(n-부틸) 아디페이트, 디이소부틸 아디페이트, 디이소옥틸 아디페이트, 옥틸데실 아디페이트 등과 같은 아디프산 화합물, 디(n-부틸) 말레이트, 디에틸 말레이트, 디노닐 말레이트 등과 같은 말레산 화합물, 메틸 올레이트, 부틸 올레이트, 테트라히드로푸라닐 올레이트 등과 같은 올레산 화합물, n-부틸 스테아레이트, 글리세릴 스테아레이트 등과 같은 스테아르산 화합물이 있다. 이러한 레올로지 조절제는 상기 조성물의 전체 중량을 기준으로 30 중량% 이하의 양으로 사용될 수 있다.
공동 접착제는 기판 또는 레지스트와 상기 반사 방지 코팅 조성물의 접착성을 개선하여 특히 현상시에 레지스트 패턴이 붕괴되는 것을 방지하기 위하여 첨가된다. 이의 구체적인 예로는 트리메틸 클로로실란, 디메틸비닐 클로로실란, 메틸디페닐 클로로실란, 클로로메틸 디메틸 클로로실란 등과 같은 클로로실란 화합물, 트리메틸 메톡시 실란, 디메틸 디에톡시 실란, 메틸 디메톡시 실란, 디메틸 비닐 에톡시 실란, 디페닐 디메톡시 실란, 페닐 트리에톡시 실란 등과 같은 알콕시 실란 화합물, 헥사메틸 디실아잔, N,N-비스(트리메틸실릴) 우레아, 디메틸 트리메틸실릴아민, 트리메틸실릴 이미다졸 등과 같은 실라잔 화합물, 비닐 트리클로로실란, 3-클로로프로필트리메톡시 실란, 3-아미노프로필 트리에톡시실란, 3-글리시독시프로 필 트리메톡시실란 등과 같은 실란 화합물, 벤조트리아졸, 벤즈이마다졸, 인다졸, 이미다졸, 2-머캅토벤즈이미다졸, 2-머캅토벤조티아졸, 2-머컵토벤족사졸, 우라졸, 티오우라실, 머캅토이미다졸, 머캅토피리미딘 등과 같은 헤테로고리 화합물, 1,1-디메틸우레아, 1,3-디메틸우레아 등과 같은 우레아 화합물, 티오우레아 화합물이 있다. 일반적으로, 이러한 공동 접착제는 상기 조성물의 전체 중량을 기준으로 5 중량% 이하, 바람직하게는 2 중량% 이하의 양으로 첨가될 수 있다.
계면활성제는 핀홀, 줄무늬(striation) 등의 발생을 방지하고 기판상의 여러 위치의 두께 균일성을 개선하기 위하여 첨가될 수 있다. 계면활성제의 예로는 비이온성 계면활성제, 예를 들어, 폴리옥시에틸렌 라우릴에테르, 폴리옥시에틸렌 스테아릴에테르, 폴리옥시에틸렌 세틸에테르, 폴리옥시에틸렌 옥틸에테르 등과 같은 폴리옥시에틸렌 알킬에테르 그룹, 폴리옥시에틸렌 올틸페놀에테르, 폴리옥시에틸렌 노닐페놀에테르 등과 같은 폴리옥시에틸렌 알킬알릴 에테르 그룹, 폴리옥시에틸렌-폴리옥시에틸렌 블록 공중합체 그룹, 소르비탄 모노라우레이트, 소르비탄 모노팔미테이트, 소르비탄 모노스테아레이트, 소르비탄 모노올레이트, 소르비탄 트리올레이트, 소르비탄 트리스테아레이트 등과 같은 소르비탄 지방산 에스테르 그룹, 폴리옥시에틸렌 소르비탄 모노라우레이트, 폴리옥시에틸렌 소르비탄 모노팔미테이트, 폴리옥시에틸렌 소르비탄 모노스테아레이트, 폴리옥시에틸렌 소르비탄 트리올레이트, 폴리옥시에틸렌 소르비탄 트리스테아레이트 등과 같은 폴리옥시에틸렌 소르비탄 지방산 에스테르 그룹, 플루오르 계열 계면활성제, 예를 들어 Eftop EF301, EF303, EF352(ToChem Products K.K. 제조), Megafac F171, F173(Dai Nippon Ink and Chemicals, Inc. 제조), Florad FC430, FC431 (Sumitomo 3M K.K. 제조), Asahiguard AG710, sURFLON S-382, SC101, SC102, SC103, SC104, SC105, SC106(Asahi Glass Co., Ltd. 제조), 및 유기 실록산 중합체 KP341 (Shin-Etsu Chemical Co., Ltd. 제조) 등이 있다. 이러한 계면활성제는 조성물의 전체 중량을 기준으로 0.2 중량% 이하, 바람직하게는 0.1 중량% 이하의 양으로 사용될 수 있다. 이러한 게면활성제는 단독으로 또는 두 종 이상의 조합으로 첨가될 수 있다.
전술한 성분 (A), (B) 및 기타 성분들을 포함하는 본 발명의 반사 방지 코팅 조성물은 일반적으로 적절한 용매에 용해된다. 이러한 용매의 예로는 에틸렌글리콜 모노메틸에테르, 에틸렌글리콜 모노에틸에테르, 메틸셀로솔브 아세테이트, 에틸셀로솔브 아세테이트, 디에틸렌글리콜 모노메틸에테르, 디에틸렌글리콜 모노에틸에테르, 프로필렌글리콜, 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 프로필렌글리콜 폴리에테르 아세테이트, 톨루엔, 크실렌, 메틸에틸케톤, 시클로펜타논, 시클로헥사논, 2-히드록시프로피온산 에틸 에스테르, 2-히드록시-2-메틸프로피온산 에틸 에스테르, 에톡시아세트산 에틸 에스테르, 히드록시아세트산 에틸 에스테르, 2-히드록시-3-부탄산 메틸 에스테르, 3-메톡시프로피온산 메틸 에스테르, 3-메톡시프로피온산 에틸 에스테르, 3-에톡시프로피온산 메틸 에스테르, 3-에톡시프로피온산 에틸 에스테르, 피루브산 에틸 에스테르, 피루브산 메틸 에스테르, 아세트산 에틸 에스테르, 아세트산 부틸 에스테르, 락트산 에틸 에스테르 등이 있다. 이러한 유기 용매는 단독으로 또는 두 종 이상의 조합으로 사용될 수 있다.
또한, 프로필렌글리콜 모노부틸에테르, 프로필렌글리콜 모노부틸에테르 아세테이트 등과 같은 고비점 용매가 사용될 수 있다. 이러한 용매중에서 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 락트산 에틸 에스테르, 락트산 부틸 에스테르 및 시클로헥사논이 평탄화 특성을 개선하는 측면에서 바람직하다.
본 발명의 반사 방지 코팅층의 상부에는 네거티브 타입 또는 포지티브 타입 포토레지스트가 도포될 수 있고, 이의 예로는 노볼락 수지 및 1,2-나프토퀴논 디아지드설폰산 에스테르를 포함하는 포지티브 타입 포토레지스트, 산분해를 통하여 알칼리 용해 속도를 증가시킬 수 있는 기를 갖는 바인더 및 광산 발생제를 포함하는 화학적 증폭형 포토레지스트, 산분해를 통해 포토레지스트의 알칼리 용해 속도를 증가시킬 수 있는 저분자량 화합물, 알칼리 가용성 바인더 및 광산 발생제를 포함하는 화학적 증폭형 포토레지스트, 산분해에 의해 알칼리 용애 속도를 증가시킬 수 있는 기를 갖는 바인더, 광산 개시제 및 산분해에 의해 포토레지스트의 알칼리 용해 속도를 증가시킬 수 있는 저분자량 화합물 등이 있고, Shipley Company에 의해 상품명 APEX-E로 시판되는 제품을 예로 들 수 있다.
본 발명의 반사 방지 코팅 조성물을 이용하여 형성되는 리소그래피용 반사 방지 코팅층에 코팅되는 포지티브 타입 포토레지스트용 현상액으로는, 수산화나트륨, 수산화칼륨, 탄산나트륨, 규산나트륨, 메타큐산나트륨, 수성 암모니아 등과 같은 무기 알칼리성 그룹, 에틸 아민, n-프로필 아민 등과 같은 1차 아민, 디에틸 아민, 디(n-부틸)아민 등과 같은 2차 아민 그룹, 트리에틸아민, 메틸디에틸 아민등ㄱ 과 같은 3차아민 그룹, 디메틸 에탄올 아민, 트리에탄올 아민 등과 같은 알콜 아민 그룹, 테트라메틸 암모늄 히드록사이드, 테트라에틸 암모늄 히드록사이드, 콜린 등과 같은 4차 암모늄 염, 피롤, 피페리딘 등과 같은 고리형 아민 그룹으로 이루어진 알칼리성 용액을 이용할 수 있다. 또한, 이소프로필 알콜등과 같은 알콜 그룹, 비이온성 게면활성제등과 같은 계면활성제를 사용할 수 있다. 본 발명의 포토레지스트 패턴을 형성하는 방법은 다음과 같다. 반사 방지 코팅층은 정밀 집적 회로 소자의 제조에 사용되는 기판(실리콘/실리콘 디옥사이드 커버링과 같은 투명 기판, 유리 기판, ITO 기판 등)상에 스핀너, 코터 등과 같은 코팅 방법을 이용하여 반사 방지 코팅 조성물을 코팅한 다음 베이킹 경화하여 제조된다. 여기서, 상기 반사 방지 코팅층의 막 두께로서는 0.01-3.0 ㎛가 바람직하다. 또한, 코팅후의 베이킹 조건은 80-250 ℃에서 1-120 분이다. 다음에, 포토레지스트를 도포하고, 소정의 마스크를 통해 노광하고, 현상, 세정 및 건조를 실시하여 양호한 포토레지스트 패턴을 얻을 수 있다. 필요한 경우 PEB(노광후 베이킹)을 실시할 수도 있다. 다음에, 과도 코팅 포토레지스트가 현상에 의해 제거된 반사 방지 코팅층의 부분을 건식 식각 제거한 후 기판상에 원하는 패턴이 형성된다.
본 발명의 반사 방지 코팅 조성물로 이루어진 반사 방지 코팅층은 더욱 얇은 두께로 사용될 수 있고, 따라서 예전보다 더욱 짧은 시간내에 건식 식각에 의하여 반사 방지 코팅층을 제거할 수 있다. 또한, 상기 반사 방지 코팅층은 포토레지스트와 비교하여 더욱 높은 식각 속도를 갖는다.
본 발명의 반사 방지 코팅 조성물을 이루어진 반사 방지 코팅층은 기판으로 부터 노출광의 반사를 방지할 수 있고, 기판과 포토레지스트 사이의 상호작용을 방지하는 층으로 이용될 수도 있고 공정 조건에 따라 포토레지스트 노광동안에 발생되는 재료 또는 포토레지스트 재료의 악영향으로부터 기판을 보호할 수 있다.
이하, 하기의 실시예를 참조하여 본 발명을 더욱 상세히 설명하기로 한다. 그러나, 본 발명이 실시예로 제한되는 것은 아니다.
합성예 1
10.0 g의 헥사메톡시메틸멜라민 화합물(Mitui Cyanamid Co., Ltd.로부터 이용가능한 제품명 Cymel303) 및 10.0g의 메톡시메틸화 에톡시메틸화 벤조구아나민 화합물(Mitui Cyanamid Co., Ltd.로부터 이용가능한 제품명 Cymel1123)을 100 g의 락트산 에틸 에스테르에 용해하고 0.5g의 p-톨루엔설폰산을 상기 용액에 첨가했다. 상기 반응 혼합물을 120 ℃에서 24 시간동안 반응시켜 Cymel303 및 Cymel1123의 수지를 함유하는 용액을 얻었다. 상기 얻어진 수지를 GPC 분석한 결과 표준 폴리스티렌의 측면에서 12000의 중량평균 분자량(Mw)을 갖는 것으로 확인되었다.
합성예 2
20.0 g의 메톡시메틸화 헥사메톡시메틸멜라민 화합물을 100 g의 락트산 에틸 에스테르에 용해하고 0.5g의 p-톨루엔설폰산을 상기 용액에 첨가했다. 상기 반응 혼합물을 105 ℃에서 24 시간동안 반응시켜 Cymel1123의 수지를 함유하는 용액을 얻었다. 상기 얻어진 수지를 GPC 분석한 결과 표준 폴리스티렌의 측면에서 7000의 중량평균 분자량(Mw)을 갖는 것으로 확인되었다.
합성예 3
70 g의 에폭시 노볼락 수지(Dow Chemical, Japan으로부터 이용가능한 상품명 DEN438, 분자량 700)를 615g의 프로필렌글리콜 모노메틸에테르 아세테이트에 용해한 다음 상기 용액에 2.2g의 벤질트리에틸암모늄 클로라이드를 첨가했다. 상기 반응 혼합물을 130 ℃에서 24 시간동안 반응시켜서 하기 화학식 3의 수지를 함유하는 용액을 얻었다.
[화학식 3]
Figure 112005019768437-pct00004
합성예 4
30g의 트리플루오로에틸 메타크릴레이트, 12.3g의 메타크릴산 및 4.6g의 2-히드록시에틸 메타크릴레이트를 201g의 프로필렌글리콜 모노메틸에테르에 용해하였다. 상기 용액을 60 ℃까지 가열하고 그 용액에 3.3g의 2,2'-아조비스(4-메톡시-2,4-디메틸발레로니트릴)을 첨가하였다. 상기 반응 혼합물을 60 ℃에서 24 시간동안 반응시켰다. 상기 반응 용액을 냉각한 후, 그 용액을 물-에틸 알콜 혼합 용액에 첨가했다. 침전된 수지 제품(화학식 4)을 수거하고 진공하에 100 ℃로건조했다. 상기 얻어진 수지를 GPC 분석한 결과, 표준 폴리스티렌의 측면에서, 15000의 중량 평균 분자량(Mw)을 갖는 것으로 확인되었다.
[화학식 4]
Figure 112005019768437-pct00005
합성예 5
30g의 트리클로로에틸 메타크릴레이트 및 4.5g의 2-히드록시에틸 메타크릴레이트를 145g의 프로필렌글리콜 모노메틸에테르에 용해하였다. 상기 용액을 60 ℃까지 가열하고 그 용액에 1.7g의 2,2'-아조비스(4-메톡시-2,4-디메틸발레로니트릴)을 첨가하였다. 상기 반응 혼합물을 60 ℃에서 24 시간동안 반응시켰다. 상기 반응 용액을 냉각한 후, 그 용액을 물-에틸 알콜 혼합 용액에 첨가했다. 침전된 수지 제품(화학식 5)을 수거하고 진공하에 100 ℃로건조했다. 상기 얻어진 수지를 GPC 분석한 결과, 표준 폴리스티렌의 측면에서, 11000의 중량 평균 분자량(Mw)을 갖는 것으로 확인되었다.
[화학식 5]
Figure 112005019768437-pct00006
합성예 6
21g의 글리시딜 메타크릴레이트 및 39g의 2-히드록시프로필 메타크릴레이트 를 242g의 프로필렌글리콜 모노메틸 에테르에 용해하였다. 상기 용액을 70 ℃까지 가열하고 그 용액에 0.6g의 아조비스이소부티로니트릴을 첨가하였다. 상기 반응 혼합물을 70 ℃에서 24 시간동안 반응시켜서 글리시딜 메타크릴레이트와 2-히드록시프로필 메타크릴레이트의 공중합체를 함유하는 용액을 얻었다. 100g의 상기 용액에 10g의 9-안트라센 카르복시산 및 0.3g의 벤질트리메틸암모늄 클로라이드를 첨가하고 그 혼합물을 ℃에서 24 시간동안 반응시켜서 하기 화학식 6의 수지를 함유하는 용액을 얻었다.
[화학식 6]
Figure 112005019768437-pct00007
실시예 1
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 3에서 얻은 3.4g의 수지를 함유하는 용액 17g, 합성예 5에서 얻은 수지 1.2g, 및 0.5g의 피리디늄 p-톨루엔설포네이트의 혼합물에, 122g의 락트산 에틸 에스테르 및 150g의 프로필렌글리콜 모노메틸에테르 아세테이트를 첨가하여 용액을 얻었다. 상기 용액을 10 ㎛의 공극 크기를 갖는 폴리에틸렌으로 이루어진 마이크로 필터를 통해 여과한 다음, 0.05 ㎛의 공극 크기를 갖는 폴리에틸렌으로 이루어진 마이크로 필터를 통해 여과하여 반사 방지 코팅 조성물 용액을 제조했다.
실시예 2
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 3에서 얻은 3.3g의 수지를 함유하는 용액 16.3g, 합성예 4에서 얻은 수지 0.83g, 0.5g의 피리디늄 p-톨루엔설포네이트, 115g의 락트산 에틸 에스테르 및 144g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
실시예 3
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 5에서 얻은 1.2g의 수지, 1.8g의 9-히드록시메틸안트라센, 0.5g의 피리디늄 p-톨루엔설포네이트, 103g의 락트산 에틸 에스테르 및 144g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
실시예 4
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 4에서 얻은 0.83g의 수지, 1.7g의 9-히드록시메틸안트라센, 0.5g의 피리디늄 p-톨루엔설포네이트, 97g의 락트산 에틸 에스테르 및 138g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
실시예 5
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 5에서 얻은 1.2g의 수지, 2.6g의 3-히드록시-7-메틸-2-나프토산, 0.5g의 피리디늄 p-톨루엔설포네이트, 112g의 락트산 에틸 에스테르 및 153g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
실시예 6
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 4에서 얻은 0.83g의 수지, 2.4g의 3-히드록시-7-메틸-2-나프토산, 0.5g의 피리디늄 p-톨루엔설포네이트, 105g의 락트산 에틸 에스테르 및 147g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
실시예 7
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 5에서 얻은 1.2g의 수지, 1.8g의 3,7-디히드록시-2-나프토산, 0.5g의 피리디늄 p-톨루엔설포네이트, 103g의 락트산 에틸 에스테르 및 144g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
실시예 8
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 4에서 얻은 0.83g의 수지, 1.7g의 3,7-디히드록시-2-나프토산, 0.5g의 피리디늄 p-톨루엔설포네이트, 97g의 락트산 에틸 에스테르 및 138g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
실시예 9
합성예 1에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 2에서 얻은 1.1g의 수지를 함유하는 용액 5.4g, 합성예 5에서 얻은 1.2g의 수지, 0.5g의 피리디늄 p-톨루엔설포네이트, 95g의 락트산 에틸 에스테르 및 131g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
실시예 10
합성예 2에서 얻은 8.5g의 수지를 함유하는 용액 50g, 합성예 3에서 얻은 1.1g의 수지를 함유하는 용액 5.4g, 합성예 4에서 얻은 0.83g의 수지, 0.5g의 피리디늄 p-톨루엔설포네이트, 89g의 락트산 에틸 에스테르 및 126g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
비교예 1
합성예 6에서 얻은 2g의 수지를 함유하는 용액 10g, 0.3g의 헥사메톡시메틸멜라민, 0.01g의 p-톨루엔설폰산, 37.3g의 프로필렌글리콜 모노메틸에테르 및 19.4g의 프로필렌글리콜 모노메틸에테르 아세테이트를 이용하여 실시예 1과 동일한 과정에 따라 반사 방지 코팅 조성물 용액을 제조했다.
광학 파라미터 측정 및 최초 최소 막 두께 시뮬레이션
상기 실시예 1-10 및 비교예 1에서 얻은 조성물 용액을 스핀너를 이용하여 실리콘 웨이퍼상에 도포했다. 상기 웨이퍼를 열판상에서 225 ℃로 1 분간 가열하여 반사 방지 코팅층 (막두께 0.04 ㎛)을 형성했다. 상기 반사 방지 코팅층의 굴절율(n 값) 및 광흡수 인자(k 값)를 분광분석 타원분석기(spectroscopic ellipsometer)를 이용하여 248 nm에서 측정했다. 결과는 표 1에서 보여진다.
상기 반사방지 코팅층의 최초 막 두께에서의 최초 최소 막 두께 및 반사율을 FINLE Technologies, Inc.로부터 이용가능한 시뮬레이션 프로그램인 PROLITH/2를 이용하여 상기에서 얻은 굴절율(n 값) 및 광흡수인자(k 값)을 기준으로 게산했다. 결과는 표 1에서 보여진다.
용해도 테스트
실시예 1-10에서 얻은 조성물을 스핀너를 이용하여 실리콘 웨이퍼상에 도포했다. 상기 웨이퍼를 열판상에서 225 ℃로 1 분간 가열하여 반사방지 코팅층 (막두께 0.10 ㎛)을 형성했다. 상기 반사 방지 코팅층을, 락트산 에틸 에스테르 및 프로필렌글리콜 메틸 에스테르와 같은 포토레지스트용 용매에 침지시키고, 상기 코팅층이 이러한 용매에 용해되는 지를 확인했다.
비교예 1에서 얻은 조성물을 스핀너를 이용하여 실리콘 웨이퍼상에 도포했다. 상기 웨이퍼를 열판상에서 205 ℃로 1 분간 가열하여 반사방지 코팅층 (막두께 0.10 ㎛)을 형성했다. 상기 반사 방지 코팅층을, 락트산 에틸 에스테르 및 프로필렌글리콜 메틸 에스테르와 같은 포토레지스트용 용매에 침지시키고, 상기 코팅층이 이러한 용매에 용해되는 지를 확인했다.
상호혼합 테스트
실시예 1-10 및 비교예 1에서 얻은 조성물을 스핀너를 이용하여 실리콘 웨이퍼상에 도포했다. 상기 웨이퍼를 열판상에서 205 ℃로 1 분간 가열하여 반사방지 코팅층 (막두께 0.10 ㎛)을 형성했다. Shipley Company로부터 이용가능한 포토레지스트 용액인 제품명 UV113을 스핀너를 이용하여 상기 반사 방지 코팅층의 상부에 도포했다. 이들을 열판상에서 120 ℃로 1 분간 가열하고, 포토레지스트를 노광시키고, 115 ℃로 1 분간 노광후 베이킹하였다. 포토레지스트를 현상한 후 상기 반사방지 코팅층의 막두께를 측정했다. 상기 반사 방지층과 포토레지스트의 사이에 상호혼합이 일어나는 지의 여부를 확인했다.
건식 식각 속도 측정
상기 용해도 테스트에 기재된 것과 동일한 방법에 따라, 실시예 1-10 및 비교예 1에서 얻은 조성물을 이용하여 반사 방지 코팅층을 형성했다. 이러한 코팅층의 건식 식각 속도를, Japan Scientific이 제조한 RIE 시스템 ES401을 이용하여 CF4 건식 식각 가스의 조건하에서 측정했다. 포토레지스트(Shipley Company로부터 이용가능한 UV113)의 건식 식각 속도를 동일 조건하에서 측정했다.
상기 포토레지스트의 건식 식각 속도를 100으로 설정한 경우 상기 반사 방지 코팅층의 건식 식각 속도 선택성이 표 1에서 보여진다.
포토레지스트 패턴 형성 테스트
실시예 1에서 얻은 조성물을, 스핀너를 이용하여 실리콘 웨이퍼상에 도포했 다. 상기 웨이퍼를 열판상에서 225 ℃로 1 분간 가열하여 반사방지 코팅층 (막두께 0.042 ㎛)을 형성했다. Shin-Etsu Chemical Co., Ltd로부터 이용가능한 포토레지스트 용액인 제품명 SERP430을 스핀너를 이용하여 상기 반사 방지 코팅층의 상부에 도포하고 그 웨이퍼를 열판상에서 90 초간 가열하여 포토레지스트층 (막두께 0.55 ㎛)을 형성했다.
상기 포토레지스트를, 0.175 ㎛ 선폭 (0.175 ㎛ L/S)를 갖는 9 개 라인 포토레지스트 패턴을 만들도록 디자인된 포토마스크를 통해 ASML Company가 제작한 PAS5500/300 스캐너(NA: 0.63, δ: 0.87/0.57)을 이용하여 248 nm의 파장에서 노광시켰다.
열판상에서 100 ℃로 90 초간 노광후 베이킹하고, Arch Chemical로부터 이용가능한 OPD262 현상액을 이용하여 60 초간 포토레지스트를 현상하고, 물로 세정하고, 건조한 다음, 얻어진 포토레지스트 패턴을 주사 전자 현미경을 이용하여 관찰했다. SEM 검사 결과, 상기 얻어진 포토레지스트 패턴은 우수한 줄무늬 패턴 형상을 가진다.
실시예 2, 3, 4, 9 및 10의 조성물을 이용하여 얻은 것으로 막두께가 0.050 ㎛ (실시예 2), 0.039 ㎛ (실시예 3), 0.038 ㎛ (실시예 4), 0.045 ㎛ (실시예 9) 및 0.041 ㎛ (실시예 10)인 반사 방지 코팅층을 이용하여 실시예 1과 동일한 방법에 따라 포토레지스트 패턴을 형성했다. SEM 검사 결과, 상기 얻어진 포토레지스트 패턴은 우수한 줄무늬 패턴 형상을 갖는다.
실시예 굴절율(n) 광흡수인자(k) 최초 최소 두께 (nm) 식각 속도
반사율(%) 선택성
1 1.78 0.51 42 0.04 1.3
2 1.82 0.53 40 0.03 1.3
3 1.84 0.53 39 0.04 1.4
4 1.86 0.56 38 <0.01 1.4
5 1.91 0.58 35 <0.01 1.5
6 1.97 0.60 33 <0.01 1.5
7 1.88 0.56 37 <0.01 1.6
8 1.93 0.58 35 <0.01 1.6
9 1.74 0.53 45 <0.01 1.3
10 1.79 0.55 41 <0.01 1.3
비교예 1 1.50 0.48 57 0.19 1.3
상기의 결과로부터, 실시예 1-10의 조성물로부터 얻은 반사 방지 코팅층은 더욱 얇은 막 두께로 사용될 수 있고, 건식 식각에 의해 반사 방지 코팅층을 제거하기 위한 시간이 에전보다 단축될 수 있고, 상기 코팅층은 건식 식각 속도 선택성이 크고, 비교예 1의 공지의 반사 방지 코팅층과 비교하여 기판으로부터의 반사광을 효과적으로 방지할 수 있다는 것을 알 수 있다.
본 발명은 반사 방지 코팅층의 건식 식각 시간을 단축하기에 충분히 얇은 막 두께로 사용되며, 포토레지스트 층과 비교하여 건식 식각 속도가 높고, 반도체 소자의 제조에서 리소그래피 공정에 사용되는 광의 높은 흡수 효과를 나타내는 반사 방지 코팅 조성물을 제공한다.
본 발명은 포토레지스트 층과의 상호혼합이 없고, 해상력이 높고, 포토레지스트 막 두께 의존성이 높은 반사 방지 코팅 조성물을 제공하며, 우수한 포토레지스트 패턴 형성 방법을 제공한다.

Claims (18)

  1. 반사 방지 코팅 조성물로서,
    (A) 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나, 또는 상기 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 트리아진 화합물로 이루어지고 중량 평균 분자량이 5000 이상인 수지와,
    (B) 흡광 화합물 및 흡광 수지 중에서 어느 한 가지를 포함하거나, 또는 흡광 화합물 및 흡광 수지 두 가지를 모두 포함하며,
    상기 (A) 및 (B)의 성분은 용매에서 용해되는 반사 방지 코팅 조성물.
  2. 제 1 항에 있어서, 상기 트리아진 화합물은 멜라민 화합물 및 벤조구아나민 화합물로 구성되는 군에서 선택되는 것을 특징으로 하는 반사 방지 코팅 조성물.
  3. 제 1 항에 있어서, 상기 수지는 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나, 또는 상기 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 멜라민 화합물과, 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나 또는 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 벤조구아나민 화합물로 이루어지고 중량 평균 분자량이 5000-50000인 것을 특징으로 하는 반사 방지 코팅 조성물.
  4. 제 1 항에 있어서, 상기 수지는 헥사메톡시메틸멜라민 및 테트라메톡시메틸 벤조구아나민으로 이루어지고, 중량 평균 분자량이 7000-30000인 것을 특징으로 하는 반사 방지 코팅 조성물.
  5. 제 1 항 내지 제 4 항중 어느 한 항에 있어서, 상기 흡광 화합물이 나프탈렌 화합물 및 안트라센 화합물로 구성되는 군에서 선택되는 것을 특징으로 하는 반사 방지 코팅 조성물.
  6. 제 1 항 내지 제 4 항중 어느 한 항에 있어서, 상기 흡광 화합물이 9-히드록시메틸안트라센인 것을 특징으로 하는 반사 방지 코팅 조성물.
  7. 제 6 항에 있어서, 상기 흡광 수지가 벤젠 고리 구조, 나프탈렌 고리 구조, 및 안트라센 고리 구조로 구성되는 군에서 선택된 하나 이상의 고리 구조를 갖는 것을 특징으로 하는 반사 방지 코팅 조성물.
  8. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 산 및 산 발생제 중에서 어느 한 가지, 또는 산 및 산 발생제 두 가지 모두를 추가로 함유하는 것을 특징으로 하는 반사 방지 코팅 조성물.
  9. 제 8 항에 있어서, 상기 산은 지방족 카르복시산, 지방족 설폰산, 방향족 카르복시산, 및 방향족 설폰산으로 구성되는 군에서 선택된 하나 이상인 것을 특징으로 하는 반사 방지 코팅 조성물.
  10. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 단위체내에서 하나 이상의 가교가능한 치환체가 있는 단위 구조체를 갖는 수지를 추가로 함유하는 것을 특징으로 하는 반사 방지 코팅 조성물.
  11. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 상기 성분 (A)의 양은 성분 (A)와 (B)의 전체 중량을 기준으로 50 내지 99 중량%인 것을 특징으로 하는 반사 방지 코팅 조성물.
  12. 반도체 소자를 제조하기 위한 리소그래피 공정에서 사용하기 위한 반사 방지 코팅층을 형성하는 방법으로서, 상기 코팅층은 제 1 항 내지 제 4 항중 어느 한 항에 따른 반사 방지 코팅 조성물을 기판상에 도포하고 베이킹함으로써 얻어지는 것을 특징으로 하는 방법.
  13. 반도체 소자를 제조하는 방법으로서, 제 1 항 내지 제 4 항 중 어느 한 항에 따른 반사 방지 코팅 조성물을 기판상에 도포하고, 베이킹하여 반사 방지 코팅층을 형성하고, 상기 코팅층에 포토레지스트를 도포하고, 상기 반사 방지 코팅층이 도포된 기판을 노광하고, 식각하여 상기 기판상에 이미지를 현상 및 전사하여 집적 회로 소자를 제조하는 것을 포함하는 것을 특징으로 하는 방법.
  14. 반사 방지 코팅 조성물로서,
    (A) 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나, 또는 상기 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 다수의 트리아진 부분들로 이루어지고, 상기 다수의 트리아진 부분들은 -CH2- 연결기 및 -CH2-O-CH2- 연결기 중 어느 한 연결기를 통해 결합되거나, 또는 -CH2- 연결기 및 -CH2-O-CH2- 연결기 모두를 통해 결합되며, 중량 평균 분자량이 5000 이상인 수지와,
    (B) 흡광 화합물 및 흡광 수지 중에서 어느 한 가지를 포함하거나, 또는 흡광 화합물 및 흡광 수지 두 가지를 모두 포함하는 것을 특징으로 하는 반사 방지 코팅 조성물.
  15. 제14항에 있어서,
    상기 (A) 및 (B)의 성분은 용매에서 용해되는 것을 특징으로 하는 반사 방지 코팅 조성물
  16. 제 14 항에 있어서, 상기 트리아진 부분은 멜라민 화합물 및 벤조구아나민 화합물로 구성되는 군에서 선택되는 것을 특징으로 하는 반사 방지 코팅 조성물.
  17. 제 14 항에 있어서, 상기 수지는 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나 또는 상기 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 멜라민 화합물과 히드록시메틸기 및 알콕시메틸기 중에서 어느 한가지 기로 치환되거나 또는 두 가지 기 모두로 치환된 두 개 이상의 질소 원자를 갖는 벤조구아나민 화합물로 이루어지고 중량 평균 분자량이 5000-50000인 것을 특징으로 하는 반사 방지 코팅 조성물.
  18. 제 14 항에 있어서, 상기 수지는 헥사메톡시메틸멜라민 및 테트라메톡시메틸 벤조구아나민으로 이루어지고, 중량 평균 분자량이 7000-30000인 것을 특징으로 하는 반사 방지 코팅 조성물.
KR1020057006597A 2002-10-15 2003-09-19 트리아진 화합물을 포함하는 반사 방지 조성물 KR101011841B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/271,646 2002-10-15
US10/271,646 US7038328B2 (en) 2002-10-15 2002-10-15 Anti-reflective compositions comprising triazine compounds

Publications (2)

Publication Number Publication Date
KR20050074962A KR20050074962A (ko) 2005-07-19
KR101011841B1 true KR101011841B1 (ko) 2011-01-31

Family

ID=32069181

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057006597A KR101011841B1 (ko) 2002-10-15 2003-09-19 트리아진 화합물을 포함하는 반사 방지 조성물

Country Status (9)

Country Link
US (1) US7038328B2 (ko)
EP (1) EP1556896B1 (ko)
JP (1) JP4399364B2 (ko)
KR (1) KR101011841B1 (ko)
AT (1) ATE392011T1 (ko)
AU (1) AU2003278870A1 (ko)
DE (1) DE60320292T2 (ko)
TW (1) TWI303013B (ko)
WO (1) WO2004036311A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9134609B2 (en) 2012-02-20 2015-09-15 Lg Chem, Ltd. Photo-curable and thermo-curable resin compostion, and dry film solder resist

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2374944A1 (en) 1999-06-10 2000-12-21 Nigel Hacker Spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
AU2002227106A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
JP4487489B2 (ja) * 2002-09-20 2010-06-23 三菱電機株式会社 埋込材およびこの埋込材を用いた半導体集積回路の製造方法
GB2400245B (en) * 2003-04-01 2005-09-28 Power Gems Ltd Ignition system for a high-frequency high-intensity discharge lamp system
US7794919B2 (en) * 2003-04-02 2010-09-14 Nissan Chemical Industries, Ltd. Composition for forming underlayer coating for lithography containing epoxy compound and carboxylic acid compound
WO2005017617A1 (en) 2003-07-17 2005-02-24 Honeywell International Inc. Planarization films for advanced microelectronic applications and devices and methods of production thereof
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7361455B2 (en) * 2004-03-31 2008-04-22 Intel Corporation Anti-reflective coatings
US7691556B2 (en) * 2004-09-15 2010-04-06 Az Electronic Materials Usa Corp. Antireflective compositions for photoresists
EP1818723B1 (en) * 2004-12-03 2011-05-04 JSR Corporation Composition for forming antireflection film, layered product, and method of forming resist pattern
US7326523B2 (en) * 2004-12-16 2008-02-05 International Business Machines Corporation Low refractive index polymers as underlayers for silicon-containing photoresists
EP1825325A4 (en) * 2004-12-16 2010-05-26 Ibm LOW BREAKING INDEX POLYMERS AS UNDERLAYS FOR SILICONE PHOTORE LISTS
EP1691238A3 (en) * 2005-02-05 2009-01-21 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for use with an overcoated photoresist
JP4595606B2 (ja) * 2005-03-17 2010-12-08 Jsr株式会社 反射防止膜形成用組成物、積層体およびレジストパターンの形成方法
US20060292501A1 (en) * 2005-06-24 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process with an enhanced depth-on-focus
US7553905B2 (en) * 2005-10-31 2009-06-30 Az Electronic Materials Usa Corp. Anti-reflective coatings
KR100843890B1 (ko) * 2005-11-07 2008-07-03 주식회사 하이닉스반도체 리소그래피 공정의 시뮬레이션 방법
KR100713231B1 (ko) * 2005-12-26 2007-05-02 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
KR101316192B1 (ko) * 2006-08-04 2013-10-08 동우 화인켐 주식회사 포토레지스트 조성물 및 이의 패턴 형성 방법
US8895229B2 (en) 2006-10-13 2014-11-25 Jsr Corporation Composition for formation of upper layer film, and method for formation of photoresist pattern
US7824844B2 (en) * 2007-01-19 2010-11-02 Az Electronic Materials Usa Corp. Solvent mixtures for antireflective coating compositions for photoresists
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
KR100886314B1 (ko) * 2007-06-25 2009-03-04 금호석유화학 주식회사 유기반사방지막용 공중합체 및 이를 포함하는유기반사방지막 조성물
US20090042133A1 (en) * 2007-08-10 2009-02-12 Zhong Xiang Antireflective Coating Composition
JP4993139B2 (ja) * 2007-09-28 2012-08-08 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
US8221965B2 (en) * 2008-07-08 2012-07-17 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8329387B2 (en) * 2008-07-08 2012-12-11 Az Electronic Materials Usa Corp. Antireflective coating compositions
US20100092894A1 (en) * 2008-10-14 2010-04-15 Weihong Liu Bottom Antireflective Coating Compositions
JP5177418B2 (ja) * 2008-12-12 2013-04-03 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8883407B2 (en) * 2009-06-12 2014-11-11 Rohm And Haas Electronic Materials Llc Coating compositions suitable for use with an overcoated photoresist
US8507192B2 (en) * 2010-02-18 2013-08-13 Az Electronic Materials Usa Corp. Antireflective compositions and methods of using same
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP6160068B2 (ja) * 2011-12-16 2017-07-12 Jsr株式会社 レジスト下層膜形成用樹脂組成物、レジスト下層膜、その形成方法及びパターン形成方法
US20130213894A1 (en) 2012-02-17 2013-08-22 Jsr Corporation Cleaning method of immersion liquid, immersion liquid cleaning composition, and substrate
CN105849642B (zh) * 2013-12-27 2019-06-11 日产化学工业株式会社 含有主链具有三嗪环及硫原子的共聚物的抗蚀剂下层膜形成用组合物
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
WO2018052130A1 (ja) * 2016-09-16 2018-03-22 日産化学工業株式会社 保護膜形成組成物
JPWO2019039355A1 (ja) * 2017-08-24 2020-07-30 日産化学株式会社 レジスト下層膜形成組成物

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06118631A (ja) * 1991-11-15 1994-04-28 Shipley Co Inc ハレーション止め組成物
JP2000221690A (ja) * 1999-01-28 2000-08-11 Tokyo Ohka Kogyo Co Ltd リソグラフィー用下地材

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1264880A (en) * 1984-07-06 1990-01-23 John Brooke Gardiner Viscosity index improver - dispersant additive useful in oil compositions
US5094765A (en) * 1990-04-30 1992-03-10 Texaco Inc. Lubricating oil composition
US5578676A (en) * 1992-02-12 1996-11-26 Flaim; Tony Method for making polymers with intrinsic light-absorbing properties
US5731385A (en) * 1993-12-16 1998-03-24 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP2953562B2 (ja) * 1994-07-18 1999-09-27 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いた多層レジスト材料
GB9508879D0 (en) 1995-05-02 1995-06-21 Ici Plc Dye diffusion thermal transfer printing
US5693691A (en) * 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
JP3436843B2 (ja) * 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
JP3053072B2 (ja) * 1996-09-10 2000-06-19 東京応化工業株式会社 レジスト積層体及びそれを用いたパターン形成方法
JPH10120940A (ja) 1996-10-18 1998-05-12 Fuji Photo Film Co Ltd 反射防止膜用組成物
US5948847A (en) * 1996-12-13 1999-09-07 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic patterning
JPH1165125A (ja) * 1997-08-21 1999-03-05 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP3177639B2 (ja) 1997-09-22 2001-06-18 林野庁森林総合研究所長 木材、竹等の繊維割り裂き装置。
US5919599A (en) * 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US6156479A (en) * 1997-09-30 2000-12-05 Brewer Science, Inc. Thermosetting anti-refective coatings
US5935760A (en) 1997-10-20 1999-08-10 Brewer Science Inc. Thermosetting polyester anti-reflective coatings for multilayer photoresist processes
CA2330689A1 (en) * 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6544717B2 (en) * 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
TW476865B (en) * 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6323310B1 (en) * 2000-04-19 2001-11-27 Brewer Science, Inc. Anti-reflective coating compositions comprising polymerized aminoplasts
JP3568158B2 (ja) * 2000-12-20 2004-09-22 東京応化工業株式会社 保護膜形成材料
JP3932805B2 (ja) * 2000-12-25 2007-06-20 株式会社日立製作所 フォトマスク及びそれを用いた電子デバイスの製造方法
US7150899B2 (en) * 2002-11-05 2006-12-19 Kansai Paint Co., Ltd. Method for forming coating film on plastic substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06118631A (ja) * 1991-11-15 1994-04-28 Shipley Co Inc ハレーション止め組成物
JP2000221690A (ja) * 1999-01-28 2000-08-11 Tokyo Ohka Kogyo Co Ltd リソグラフィー用下地材

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9134609B2 (en) 2012-02-20 2015-09-15 Lg Chem, Ltd. Photo-curable and thermo-curable resin compostion, and dry film solder resist
US9389504B2 (en) 2012-02-20 2016-07-12 Lg Chem, Ltd. Photo-curable and thermo-curable resin composition, and dry film solder resist

Also Published As

Publication number Publication date
TW200413839A (en) 2004-08-01
TWI303013B (en) 2008-11-11
ATE392011T1 (de) 2008-04-15
EP1556896B1 (en) 2008-04-09
WO2004036311A2 (en) 2004-04-29
DE60320292T2 (de) 2009-07-16
JP2006503331A (ja) 2006-01-26
AU2003278870A1 (en) 2004-05-04
US7038328B2 (en) 2006-05-02
AU2003278870A8 (en) 2004-05-04
US20040072420A1 (en) 2004-04-15
WO2004036311A3 (en) 2004-12-09
EP1556896A4 (en) 2007-05-09
KR20050074962A (ko) 2005-07-19
DE60320292D1 (de) 2008-05-21
JP4399364B2 (ja) 2010-01-13
EP1556896A2 (en) 2005-07-27

Similar Documents

Publication Publication Date Title
KR101011841B1 (ko) 트리아진 화합물을 포함하는 반사 방지 조성물
US7816067B2 (en) Coating-type underlayer coating forming composition for lithography containing naphthalene resin derivative
US7332266B2 (en) Composition for forming anti-reflective coating for use in lithography
US8257908B2 (en) Coating-type underlayer coating forming composition for lithography containing vinylnaphthalene resin derivative
US7309560B2 (en) Composition for forming anti-reflective coating
KR101045308B1 (ko) 반사 방지막 형성 조성물
JP5561494B2 (ja) Euvリソグラフィー用レジスト下層膜形成組成物
US7365023B2 (en) Porous underlayer coating and underlayer coating forming composition for forming porous underlayer coating
KR100838000B1 (ko) 리소그래피용 반사 방지막 형성 조성물
JP4250939B2 (ja) 反射防止膜形成組成物
JP4243825B2 (ja) リソグラフィー用反射防止膜形成組成物
WO2012081619A1 (ja) レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
JP4207119B2 (ja) 多環脂環式構造ポリマーを含有する反射防止膜形成組成物
JP4753018B2 (ja) 付加重合性樹脂を含むリソグラフィー用反射防止膜形成組成物
JP2004205900A (ja) リン系有機基含有高分子を含む反射防止膜形成組成物
JP4214385B2 (ja) シリコン原子を側鎖に有するポリマーを含む反射防止膜形成組成物
JP2017203941A (ja) 添加剤を含むリソグラフィー用レジスト下層膜形成組成物

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140121

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150116

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160104

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180109

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190110

Year of fee payment: 9