WO2023017711A1 - レジスト組成物の検査方法、レジスト組成物の製造方法、レジスト組成物 - Google Patents

レジスト組成物の検査方法、レジスト組成物の製造方法、レジスト組成物 Download PDF

Info

Publication number
WO2023017711A1
WO2023017711A1 PCT/JP2022/027964 JP2022027964W WO2023017711A1 WO 2023017711 A1 WO2023017711 A1 WO 2023017711A1 JP 2022027964 W JP2022027964 W JP 2022027964W WO 2023017711 A1 WO2023017711 A1 WO 2023017711A1
Authority
WO
WIPO (PCT)
Prior art keywords
developer
resist composition
resist
group
resist film
Prior art date
Application number
PCT/JP2022/027964
Other languages
English (en)
French (fr)
Inventor
三千紘 白川
智美 高橋
哲也 清水
慶 山本
直紘 丹呉
Original Assignee
富士フイルム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士フイルム株式会社 filed Critical 富士フイルム株式会社
Priority to JP2023541383A priority Critical patent/JPWO2023017711A1/ja
Priority to KR1020247004789A priority patent/KR20240032123A/ko
Publication of WO2023017711A1 publication Critical patent/WO2023017711A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques

Definitions

  • the present invention relates to a resist composition inspection method, a resist composition manufacturing method, and a resist composition.
  • an actinic ray-sensitive or radiation-sensitive composition (hereinafter "resist composition” ) is used for microfabrication by lithography.
  • resist composition an actinic ray-sensitive or radiation-sensitive composition
  • Patent Document 1 discloses a method of forming a negative pattern using a developer containing an organic solvent.
  • the resist composition Since it is desirable that the resist composition has little performance difference between lots, conventionally, every time a resist composition is prepared, exposure processing and development processing are performed using the resist composition, and quality inspection such as pattern size is performed. was being implemented.
  • the inventors of the present invention have investigated a quality inspection method for a resist composition. It has been found that when the composition is used to form a pattern after being stored for a predetermined period of time, the LWR (line width roughness) performance of the formed pattern may deteriorate.
  • the contact step C1 the line width of the resist pattern formed in the step C1, the number of defects in the resist pattern formed in the step C1, and the number of defects of the exposed resist film measured in the step C1.
  • a method for inspecting a resist composition that is faster than its dissolution rate. [2] The method for inspecting a resist composition according to [1], wherein the first developer contains butyl acetate and a hydrocarbon solvent. [3] The method for inspecting a resist composition according to [2], wherein the hydrocarbon-based solvent is undecane.
  • a method for inspecting a resist composition wherein a dissolution rate of a resist film formed using the resist composition is higher than a dissolution rate of the resist film by the first developer.
  • the ratio of the dissolution rate of the resist film formed using the resist composition by the second developer to the dissolution rate by the first developer is more than 1.0 and 100 or less, [7] to [10]
  • a composition preparation step of preparing a resist composition and an inspection in which the resist composition prepared in the composition preparation step is inspected according to the inspection method according to any one of [1] to [13].
  • a method for producing a resist composition comprising the steps of: [15] A resist composition produced by a production method comprising an inspection step of inspecting by the inspection method according to any one of [1] to [13].
  • the present invention it is possible to provide a method for inspecting a resist composition that can easily determine a resist composition having good LWR performance after being stored for a predetermined period of time. Moreover, according to the present invention, a method for producing a resist composition and a resist composition can be provided.
  • an "alkyl group” includes not only an alkyl group having no substituent (unsubstituted alkyl group) but also an alkyl group having a substituent (substituted alkyl group).
  • organic group refers to a group containing at least one carbon atom.
  • the substituent is preferably a monovalent substituent unless otherwise specified.
  • actinic rays or “radiation” as used herein refers to, for example, the emission line spectrum of a mercury lamp, far ultraviolet rays represented by excimer lasers, extreme ultraviolet rays (EUV light: Extreme Ultraviolet), X-rays, and electron beams (EB : Electron Beam), etc.
  • light means actinic rays or radiation.
  • exposure means, unless otherwise specified, not only exposure by the emission line spectrum of a mercury lamp, far ultraviolet rays represented by excimer lasers, extreme ultraviolet rays, X-rays, and EUV light, but also electron beams, and It also includes drawing with particle beams such as ion beams.
  • is used to include the numerical values before and after it as lower and upper limits.
  • the bonding direction of the divalent groups described herein is not limited unless otherwise specified. For example, in the compound represented by the formula "XYZ", when Y is -COO-, Y may be -CO-O- or -O-CO- good too. Further, the above compound may be "X--CO--O--Z" or "X--O--CO--Z.”
  • (meth)acrylate refers to acrylate and methacrylate
  • (meth)acryl refers to acrylic and methacrylic
  • Mw weight average molecular weight
  • Mn number average molecular weight
  • Mw/Mn dispersity
  • the acid dissociation constant (pKa) represents the pKa in an aqueous solution. , is a calculated value. All pKa values described herein are calculated using this software package.
  • pKa can also be obtained by molecular orbital calculation.
  • H + dissociation free energy can be calculated by, for example, DFT (density functional theory), but various other methods have been reported in literature, etc., and are not limited to this. .
  • DFT density functional theory
  • Gaussian 16 is an example.
  • the pKa in the present specification refers to a value obtained by calculating a value based on a database of Hammett's substituent constants and known literature values using software package 1, as described above. If it cannot be calculated, a value obtained by Gaussian 16 based on DFT (Density Functional Theory) is adopted.
  • pKa in this specification refers to "pKa in aqueous solution” as described above, but when pKa in aqueous solution cannot be calculated, “pKa in dimethyl sulfoxide (DMSO) solution” is adopted. It shall be.
  • halogen atoms include, for example, fluorine, chlorine, bromine and iodine atoms.
  • solid content means all components other than the solvent. In addition, even if the property of solid content is liquid, it is calculated as solid content. In this specification, for example, when “composition A substantially contains only component B", it is intended that the content of component B is 95% by mass or more relative to the total mass of composition A. do. Further, in this specification, for example, when “composition A does not substantially contain component C" is described, the content of component C is 5% by mass or less with respect to the total mass of composition A. intended to
  • a method for inspecting a resist composition according to the first embodiment of the present invention is a method for inspecting a resist composition capable of forming a resist pattern by performing exposure processing and development processing using a first developer, It has the following steps.
  • Step A1 A step of forming a resist film on a substrate using a resist composition.
  • Step B1 A step of exposing the resist film formed in step A1.
  • Step C1 A step of bringing the resist film exposed in step B1 into contact with a second developer different from the first developer.
  • Step X1 The line width of the resist pattern formed in step C1, the number of defects in the resist pattern formed in step C1, and the dissolution rate of the exposed resist film by the second developer measured in step C1. Obtaining at least one measurement data selected from the group.
  • Step Y1 A step of determining whether or not the measurement data obtained in step X1 is within a preset allowable range. Further, in the method for inspecting a resist composition according to the present embodiment, the dissolution rate of the resist film formed using the resist composition in the second developer is the first rate of the resist film formed using the resist composition. It is characterized by having a higher dissolution rate than the developer.
  • a developer that is actually used when forming a resist pattern using the resist composition is used. While inspection is generally performed, another developer (second developer) having a higher dissolution rate for the resist film than the first developer is used for quality inspection.
  • second developer another developer having a higher dissolution rate for the resist film than the first developer is used for quality inspection.
  • the detailed reason why the deterioration in performance of the resist composition after a predetermined period of time, which could not be detected in the inspection using the first developer, could be detected by using the second developer is unknown.
  • the present inventors found that the use of a developer having a higher dissolution rate of the resist film than the developer actually used causes a slight change in the properties of the resist composition that causes the deterioration of the performance of the resist composition after the elapse of a predetermined period of time. is amplified and detected as a clear difference. Therefore, according to the inspection method described above, the effect of being able to easily determine the LWR performance of the resist composition after being stored for a predetermined period (hereinafter also referred to as "the effect of the present invention”) can be achieved.
  • resist composition As the resist composition to be inspected by the inspection method according to the present embodiment, a known resist composition capable of forming a resist pattern by performing exposure processing and development processing using a first developer can be used.
  • the phrase “a resist pattern can be formed by performing an exposure treatment and a development treatment using a first developer” means that a resist film formed using the resist composition is subjected to pattern exposure. It means that a resist pattern can be formed by performing a developing process of developing the exposed resist film using a first developer after performing the exposure process. Examples of the method for forming a resist pattern using the resist composition include a pattern forming method having steps 1 to 3 described below. The resist composition will be described later in detail.
  • the first developer As the first developer, a developer suitable for the resist composition used in the inspection method according to the present embodiment is selected.
  • the first developer is not particularly limited as long as it can dissolve the resist film formed using the resist composition, and can be selected from known developers.
  • the term “resist film formed using the resist composition” or simply “resist film” in this specification refers to a film formed using the above resist composition and then not subjected to exposure treatment. It includes both an exposed film and a film that has been exposed to light after being formed using the above resist composition. That is, the first developer may be a negative developer that removes the unexposed portion of the resist film to form a resist pattern, or a positive developer that removes the exposed portion of the resist film to form a resist pattern. may be a developer.
  • Examples of the first developer include an alkaline developer and an organic solvent developer, with the organic solvent developer being preferred.
  • an alkali aqueous solution containing alkali is preferable.
  • the type of alkaline aqueous solution is not particularly limited, it consists of, for example, quaternary ammonium salts represented by tetramethylammonium hydroxide, inorganic alkalis, primary amines, secondary amines, tertiary amines, alcohol amines, and cyclic amines.
  • An alkaline aqueous solution containing at least one selected from the group can be mentioned.
  • the alkaline developer is preferably an aqueous solution of a quaternary ammonium salt represented by tetramethylammonium hydroxide (TMAH).
  • TMAH quaternary ammonium salt represented by tetramethylammonium hydroxide
  • An appropriate amount of alcohols, surfactants, or the like may be added to the alkaline developer.
  • the alkali concentration of the alkali developer is often 0.1 to 20 mass %.
  • An organic solvent developer is a developer containing an organic solvent.
  • the vapor pressure of the organic solvent contained in the organic solvent developer (in the case of a mixed solvent, the vapor pressure as a whole) is preferably 5 kPa or less, more preferably 3 kPa or less, and still more preferably 2 kPa or less at 20°C.
  • Organic solvents used in the organic solvent developer include known organic solvents, including ester solvents, ketone solvents, alcohol solvents, amide solvents, ether solvents, and hydrocarbon solvents.
  • ester solvents include ester solvents having 3 to 12 carbon atoms, and ester solvents having 4 to 10 carbon atoms are preferred.
  • the ester solvent may have an alkyl group.
  • the alkyl group may be linear, branched or cyclic, preferably linear.
  • an oxygen atom is preferable, and it is more preferable to have only an oxygen atom as a heteroatom.
  • the ester solvent may have one or more -COO-, and preferably has only one -COO-.
  • ester-based solvents include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, pentyl acetate, isopentyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, and diethylene glycol.
  • Ketone solvents include, for example, 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 2-heptanone (methyl amyl ketone), 4-heptanone, 1-hexanone, 2-hexanone, diisobutyl ketone, Cyclohexanone, methylcyclohexanone, phenylacetone, methylethylketone, methylisobutylketone, acetylacetone, acetonylacetone, ionone, diacetonyl alcohol, acetylcarbinol, acetophenone, methylnaphthylketone, isophorone, and propylene carbonate.
  • hydrocarbon solvents examples include aliphatic hydrocarbon solvents and aromatic hydrocarbon solvents.
  • the number of carbon atoms in the hydrocarbon-based solvent is preferably 3-20, more preferably 8-12, even more preferably 9-11.
  • the aliphatic hydrocarbon-based solvent may be a saturated aliphatic hydrocarbon-based solvent or an unsaturated aliphatic hydrocarbon-based solvent, and a saturated aliphatic hydrocarbon-based solvent is preferable.
  • the aliphatic hydrocarbon-based solvent may be linear, branched or cyclic, preferably linear.
  • the aromatic hydrocarbon solvent may be either monocyclic or polycyclic.
  • the hydrocarbon solvent is preferably a saturated aliphatic hydrocarbon solvent, more preferably octane, nonane, decane, undecane or dodecane, and still more preferably nonane, decane or undecane.
  • the first developer is preferably an organic solvent developer, more preferably contains at least one selected from the group consisting of an ester solvent and a hydrocarbon solvent, and is a mixture containing an ester solvent and a hydrocarbon solvent. Solvents are more preferred. Among them, it is preferable to use butyl acetate as the ester solvent. That is, it is particularly preferable that the first developer contains butyl acetate and a hydrocarbon solvent.
  • the hydrocarbon solvent to be combined with the ester solvent include the preferred saturated aliphatic hydrocarbon solvents described above from the viewpoint of adjusting the solubility of the resist film, preferably nonane, decane or undecane, more preferably undecane.
  • the first developer may contain one of the above organic solvents, or may contain a combination of two or more.
  • the respective contents are not particularly limited because they depend on the solvent solubility of the resist film, and may be determined as appropriate.
  • the content of the ester solvent is preferably 10 to 95% by mass, more preferably 25 to 93% by mass, based on the total mass of the first developer. More preferably, 40 to 91% by mass is even more preferable.
  • the content of the hydrocarbon-based solvent is preferably 5 to 90% by mass, more preferably 7 to 75% by mass, and even more preferably 9 to 60% by mass, relative to the total mass of the first developer.
  • the content of the organic solvent (total when multiple types are mixed) in the first developer is preferably 80% by mass or more, more preferably 90% by mass or more, relative to the total mass of the first developer. Above all, it is more preferable that the first developer substantially contain only the organic solvent, and the content of the organic solvent is particularly preferably 98% by mass or more with respect to the total mass of the first developer.
  • the upper limit is not particularly limited, and may be 100% by mass.
  • the first developer may contain water, it is preferable that it does not substantially contain water. Preferably, it is more preferably free of water.
  • the dissolution rate of the resist film formed using the resist composition in the first developer (hereinafter also referred to as "first dissolution rate”) is 0.1 nm/sec from the viewpoint of suppressing the generation of residues due to insufficient development.
  • 0.5 nm/second or more is more preferable, and 1.0 nm/second or more is still more preferable.
  • the upper limit is not particularly limited, it is preferably 1,000 nm/sec or less, more preferably 500 nm/sec or less, from the viewpoint of suppressing pattern film reduction.
  • the rate of dissolution of the resist film by the first developer can be obtained by dividing the amount of change in the resist film thickness by the time required for development.
  • the dissolution rate when the resist film remains after processing for a predetermined development time can be obtained by measuring the film thickness before and after development to determine the amount of change in film thickness, and dividing it by the predetermined development time. can. Film thickness measurement before and after development can be obtained using, for example, an optical interference method or an ellipsometry method.
  • the dissolution rate when no resist film remains after processing for a predetermined development time can be obtained by dividing the initial film thickness by the time required for development.
  • the time required for development can be obtained from the behavior of changes in parameters obtained by, for example, spectroscopic interferometry or a quartz crystal microbalance method (QCM), measured in real time. can.
  • QCM quartz crystal microbalance method
  • a specific example of the method of calculating the first dissolution rate by obtaining the time required for development using the QCM method will be described in Examples described later.
  • the method of forming the resist film for measuring the first dissolution rate and the method of exposing the resist film, which is performed as necessary may be carried out according to steps 1 and 2, respectively, which will be described later.
  • Examples of the first developer include an alkaline developer and an organic solvent developer.
  • the substrate is not particularly limited as long as it is a substrate used in the manufacture of integrated circuit elements, and includes silicon wafers and silicon wafers coated with silicon dioxide, with silicon wafers being preferred.
  • a step A1 of forming a resist film on a substrate using the resist composition is performed.
  • the method of forming a resist film on a substrate using a resist composition include a method of forming a coating film by applying the resist composition onto the substrate.
  • Other examples of methods for forming a coating film include a coating method using a coater cup, a coating method using an organic developing unit, and a spin coating method using a spinner.
  • the rotation speed for spin coating using a spinner is preferably 500 to 3000 rpm.
  • the drying method include a method of drying by heating. Heating can be performed by a means provided in a normal exposure machine and/or a developing machine, and heating means such as a hot plate may be used.
  • the heating temperature is preferably 80 to 150°C, more preferably 80 to 140°C, even more preferably 80 to 130°C.
  • the heating time is preferably 30 to 1000 seconds, more preferably 60 to 800 seconds, even more preferably 60 to 600 seconds. As one aspect, it is preferable to perform heating at 100° C. for 60 seconds.
  • the thickness of the resist film is not particularly limited, it is preferably 10 to 1000 nm, more preferably 10 to 120 nm.
  • the thickness of the resist film is more preferably 10 to 100 nm, particularly preferably 15 to 70 nm.
  • the thickness of the resist film is more preferably 15 to 90 nm.
  • the thickness of the resist film can be adjusted, for example, by controlling the composition and viscosity of the resist composition, the temperature of the resist composition to be applied, the rotation speed of the spin coater, and other conditions.
  • Step B1 In the inspection method according to this embodiment, after the step A1, the step B1 of exposing the resist film formed in the step A1 is performed.
  • Radiation used for exposure in step B1 includes infrared light, visible light, ultraviolet light, far ultraviolet light, extreme ultraviolet light, X-rays, and EB, and far ultraviolet light, extreme ultraviolet light, or EB is preferable.
  • the far ultraviolet light or extreme ultraviolet light preferably has a wavelength of 250 nm or less, more preferably 220 nm or less, and still more preferably 1 to 200 nm.
  • step B1 the resist film is exposed using at least one selected from the group consisting of EUV exposure (wavelength 13.5 nm), KrF exposure (wavelength 248 nm), ArF exposure (wavelength 193 nm), and EB exposure. is preferred, and EUV exposure is more preferred.
  • step X1 when the line width of the resist pattern formed in step C1 or the number of defects in the resist pattern formed in step C1 is obtained as measurement data, in step B1, the above radiation is passed through a predetermined mask.
  • patterned exposure can be performed by irradiating the resist film.
  • pattern exposure may be performed by drawing using particle beams such as EB and ion beams.
  • the mask used for pattern exposure is appropriately selected according to the resist pattern formed in step C1. For example, for EUV exposure, a mask having a line-and-space pattern with a line width of 8-100 nm and a pitch of 16-200 nm is preferred.
  • a mask having a line-and-space pattern with a line width of 30-150 nm and a pitch of 76-300 nm is preferred.
  • a mask having a line-and-space pattern with a line width of 50 to 150 nm and a pitch of 180 to 300 nm is preferred.
  • the heating temperature is preferably 80 to 150°C, more preferably 80 to 140°C.
  • the heating time is preferably 10 to 1000 seconds, more preferably 10 to 180 seconds. Heating can be performed by a means provided in a normal exposure machine and/or a developing machine, and may be performed using a hot plate or the like.
  • Step C1 is a step of bringing the resist film formed in step A1 and exposed in step B1 into contact with a second developer different from the first developer.
  • the second developer used in step C1 and the procedure of step C1 will be described below.
  • step C1 a developer capable of dissolving a resist film formed using the resist composition and having a dissolution rate of the resist film higher than that of the first developer is used as a second developer.
  • the second developer the resist film formed using the resist composition used in the inspection method according to the present embodiment can be dissolved, and the dissolution rate of the resist film is higher than that of the first developer. is not particularly limited, and can be selected from known developers.
  • the developer exemplified as the first developer can be used, including its preferred embodiment.
  • the second developer preferably contains an ester solvent.
  • the ester-based solvent contained in the second developer is preferably butyl acetate, isobutyl acetate, or isoamyl acetate, and more preferably butyl acetate.
  • the content of the ester solvent in the second developer is preferably 80% by mass or more, more preferably 90% by mass or more, relative to the total mass of the second developer.
  • the second developer substantially contains only an ester solvent, and substantially contains only at least one solvent selected from the group consisting of butyl acetate, isobutyl acetate, and isoamyl acetate. is particularly preferred, and most preferably contains substantially only butyl acetate.
  • the upper limit of the content of the ester solvent contained in the second developer is not particularly limited, and may be 100% by mass.
  • the dissolution rate of the resist film formed using the resist composition in the second developer is preferably 0.1 nm/sec or more, and is preferably 0.5 nm/sec or more. More preferably, 1.0 nm/second or more is even more preferable.
  • the upper limit is not particularly limited, it is preferably 1,000 nm/sec or less, more preferably 500 nm/sec or less.
  • the ratio of the second dissolution rate to the first dissolution rate is not particularly limited as long as it exceeds 1.0, but it is preferably more than 1.0 and 100 or less, more preferably more than 1.0 and 50 or less, and 2.0 to 20.0 is more preferred.
  • the second dissolution rate can be measured according to the method for measuring the first dissolution rate described above.
  • the method of bringing the resist film exposed in step B1 into contact with the second developer is not particularly limited.
  • the contact method for example, a method of immersing the substrate with the resist film in a tank filled with the second developer for a certain period of time, or a method of raising the second developer on the surface of the resist film by surface tension and allowing it to stand still for a certain period of time.
  • the contact method include a method using a coater cup, a method using a developing unit, and a spin coating method using a spinner.
  • the number of revolutions when performing spin coating using a spinner is preferably 500 to 3000 rpm.
  • the supply amount of the second developer is preferably 0.2 to 10.0 mL/s, and the supply time is preferably 3 to 300 seconds.
  • the temperature of the second developer is not particularly limited, preferably 0 to 50°C, more preferably 15 to 35°C.
  • the contact time between the resist film and the second developer is, for example, 800 seconds or less, preferably 300 seconds or less, and more preferably 60 seconds or less, in terms of better inspection accuracy.
  • the lower limit is, for example, 5 seconds or longer.
  • the drying method include a method of drying by heating. Heating can be carried out by a means provided in a normal exposure machine and/or a developing machine, and may be carried out using a hot plate or the like.
  • the heating temperature is preferably 80 to 200°C, more preferably 80 to 140°C, even more preferably 80 to 130°C.
  • the heating time is preferably 30 to 1000 seconds, more preferably 60 to 800 seconds, even more preferably 60 to 600 seconds. As one aspect, it is preferable to perform heating at 100° C. for 60 seconds.
  • step X1 from the line width of the resist pattern formed in step C1, the dissolution rate of the exposed resist film by the second developer measured in step C1, and the number of defects in the resist pattern formed in step C1 Obtaining at least one measurement data selected from the group consisting of:
  • the process of acquiring the line width of the resist pattern formed in the process C1 as measurement data is referred to as "process X1a”
  • the process of acquiring the number of defects in the resist pattern formed in the process C1 as measurement data shall be referred to as “step X1b”
  • step acquiring the dissolution rate of the exposed resist film in the second developer measured in step C1 as measurement data shall be referred to as "step X1c”.
  • Step X1a is a step of measuring the line width of the resist pattern formed by the steps A1, B1 and C1 and acquiring it as measurement data.
  • the line width of the resist pattern in step X1a is determined by observing the surface of the resist pattern using a scanning electron microscope (SEM, such as "CG-4100” manufactured by Hitachi High-Tech Co., Ltd.). It can be obtained by measuring the line width at 160 selected points and taking the arithmetic mean of the measured values.
  • SEM scanning electron microscope
  • the mask used for pattern exposure is not particularly limited, but preferably has a line-and-space pattern, and is appropriately selected from known masks having line widths and pitches corresponding to the exposure light irradiated in step B1.
  • the step X1b is a step of measuring the number of defects in the resist patterns formed by the steps A1, B1 and C1 and acquiring the measured data.
  • a defect inspection apparatus for example, a defect inspection apparatus KLA2925 manufactured by KLA Tencor Co., Ltd.
  • KLA2925 manufactured by KLA Tencor Co., Ltd.
  • the number of pieces can be measured.
  • the mask used for pattern exposure is as described above.
  • Step X1c is a step of measuring the dissolution rate of the exposed resist film in the second developer measured in step C1 and acquiring it as measurement data. More specifically, for the resist film (exposed portion of the resist film) exposed in step B1, the film thickness (FT1) before step C1 and the film thickness (FT2) after step C1 are measured. Then, the measurement data can be obtained by calculating the resist film minimum dissolution rate (Rmin) using the following formula.
  • Rmin (FT1 - FT2) / contact time of step C1 (nm/sec)
  • the thickness of the resist film before and after step C1 can be measured with an optical interference film thickness measuring device (for example, "VM-3200 (product name)” manufactured by SCREEN Semiconductor Solutions Co., Ltd.).
  • Step Y1 it is determined whether or not the measurement data obtained in step X1 is within a preset allowable range.
  • the permissible range is set in advance by the time step Y1 is performed, based on the type of measurement data acquired in step X1, the desired performance of the resist composition, and the like. Based on this allowable range, if the measurement data obtained in step X1 is within the allowable range, it is judged as "accepted", and if it is not within the allowable range, it is judged as "failed”.
  • the method of setting the allowable range used in step Y1 is not particularly limited as long as it does not deviate from the gist of the present invention.
  • the allowable range may be, for example, a relative numerical range set based on predetermined reference data, or an absolute numerical range.
  • Examples of the reference data include reference data derived from measurement data of resist compositions manufactured in the past. More specifically, measurement data of past manufacturing lots when manufacturing multiple lots of the resist composition can be used as the reference data.
  • “the lot is the same” means that the production lot is the same.
  • the past production lot for which measurement data used as reference data in step Y1 was measured is also referred to as a "reference lot.”
  • the reference lot for example, among a plurality of manufacturing lots manufactured in the past, the lot manufactured for the first time (initial lot), the lot manufactured immediately before performing the step Y1 (previous lot), and the most measured data A good lot (best lot) can be mentioned, and it is preferable to use the first lot or the immediately preceding lot.
  • the reference data an average value of measurement data of a plurality of reference lots selected from a plurality of manufacturing lots manufactured in the past can be used.
  • a plurality of reference lots may be arbitrarily selected from a plurality of lots manufactured in the past, and a plurality of consecutive lots retroactively from the immediately preceding lot (for example, 1 to 5 lots before including the immediately preceding lot) are selected. It may be all lots manufactured in the past.
  • a plurality of consecutive lots retroactively from the immediately preceding lot for example, 1 to 5 lots before including the immediately preceding lot
  • It may be all lots manufactured in the past.
  • Examples of the method of obtaining the reference data from the reference lot include a method including the following steps A0, B0, C0 and X0.
  • Step A0 A step of forming a resist film on a substrate using part of the reference lot.
  • Step B0 A step of exposing the resist film formed in step A0.
  • Step C0 A step of bringing a second developer into contact with the resist film exposed in step B-.
  • Step X0 According to the measurement data obtained in step X1, the line width of the resist pattern formed in step C0, the number of defects in the resist pattern formed in step C0, and the exposed resist measured in step C0. Obtaining at least one reference data selected from the group consisting of dissolution rate of the film by the second developer.
  • steps A0, B0, C0 and X0 may be performed according to the above steps A1, B1, C1 and X1, respectively, and in terms of better inspection accuracy, the above steps A1, B1, C1 and X1 preferably in the same way. Therefore, detailed description of steps A0, B0, C0 and X0 is omitted.
  • the method of setting the allowable range based on the reference data is not particularly limited, and is appropriately set according to the type of measurement data, the desired performance of the resist composition, and the like.
  • the allowable range includes, for example, a range within a predetermined difference with respect to the reference data and a range within a predetermined ratio with respect to the reference data.
  • the ratio to the reference data set as the allowable range can be appropriately set according to the composition and application of the resist composition.
  • the acceptable range includes, for example, a range within 1 to 50% (preferably within 1 to 10%) of the reference data, but is not limited to this range.
  • the allowable range may be set based on the standard deviation obtained from the plurality of measurement data.
  • the allowable range includes, for example, a range in which the difference from the average value, which is the reference data, is within the standard deviation or within a predetermined multiple of the standard deviation, but is not limited to this range.
  • the allowable range is set based on the type of measurement data and the expected performance of the resist composition, etc., without referring to past measurement data. It may be the range specified. For example, regarding the allowable range for comparison with the line width of the resist pattern obtained as the measurement data in step X1a, a line width as reference data is set in advance, and a range within a predetermined ratio to the set line width. can be set in advance as an allowable range.
  • the line width, which is the reference data in that case, is preferably 5 to 200 nm, more preferably 10 to 100 nm, still more preferably 12 to 50 nm when performing EUV exposure in step B1, and 20 to 20 nm when performing KrF exposure.
  • 400 nm is preferable, 40 to 200 nm is more preferable, 60 to 150 nm is more preferable, and when ArF exposure is performed, 15 to 300 nm is preferable, 30 to 150 nm is more preferable, 40 to 120 nm is even more preferable, and EB exposure is performed.
  • the ratio to the line width as reference data is preferably within 1 to 50%, more preferably within 1 to 10% (for example, within 5%).
  • a predetermined range of the number of defects can be set in advance as an allowable range for comparison with the number of defects of the resist pattern obtained as measurement data in step X1b.
  • the allowable range is, for example, preferably 0.0001 to 10 pieces/cm 2 or less, more preferably 0.0005 to 5 pieces/cm 2 or less, relative to the area of the resist pattern formed on the wafer. , 0.001 to 1/cm 2 or less.
  • step Y1 of determining whether the above measurement data is within the allowable range is performed by a processing device configured using hardware such as a computer, for example.
  • a processing device configured using hardware such as a computer, for example.
  • An example of the configuration of a processing device that performs the determination of step Y1 will be described below, but step Y1 is not limited to that performed by the following processing device.
  • the processing device has an input unit, a processing unit, a storage unit, and an output unit.
  • the memory has a memory that can store data from the outside and a ROM (Read Only Memory).
  • the processing device may be configured by a computer in which each part functions by executing a program stored in a ROM, or may be a dedicated device in which each part is configured by a dedicated circuit. Note that the program is supplied in the form of computer software, for example.
  • the input unit is a part having a function of inputting the measurement data obtained in step X1, and may be, for example, various input devices such as a mouse and keyboard, or may be a measuring device that performs step X1. .
  • the processing unit is a part that makes a determination in step Y1. More specifically, the measurement data obtained in step X1 is received from the input unit, the allowable range stored in the storage unit is read, and the measured data and the allowable range are compared to determine whether the measured data is within the allowable range. Determine whether or not it is included.
  • the processing unit performs predetermined control on the output unit according to the determination result according to a preset program. Also, the processing unit causes the storage unit to store the measurement data input from the input unit.
  • the processing unit calculates and stores new reference data and tolerance based on data selected from the group consisting of measurement data input from the input unit and past measurement data stored in the storage unit. store in the department.
  • the output unit is a part having a function of outputting the determination result of the step Y1, for example, a display device such as a display that displays the determination result, a device such as a printer that displays the determination result on an output medium, and a sound that outputs an alarm. Examples include an output device and communication means for informing the user of the determination result.
  • step Y1 if the measurement data obtained in step X1 is not included in the allowable range (if the judgment result is unacceptable), the processing unit displays the unacceptable judgment result (display on the display device and The output unit may be controlled to perform processing selected from display on an output medium, etc.) and execution of warnings to the user (warning and notification, etc.). As a result, the user is notified that the measurement data obtained in step X1 is not within the allowable range, the production of the resist composition is stopped, and the resist composition for which the measurement data is obtained is discarded or refined in the same lot.
  • the user can be prompted to perform processing such as
  • the processing unit displays the judgment result of acceptance (display on the display device and display on the output medium). etc.) and the output unit may be controlled to perform processing selected from notification to the user.
  • the processing apparatus may have a manufacturing section (manufacturing apparatus) that manufactures the resist composition, and the processing section may be connected to the manufacturing section through an electric circuit.
  • the processing department controls the production department to stop the production of the resist composition.
  • the manufacturing department may be controlled to continue manufacturing the resist composition.
  • the configuration is not particularly limited as long as it can manufacture a resist composition, and known manufacturing equipment can be used as appropriate.
  • the resist composition inspection method according to the second embodiment of the present invention performs an exposure process and a development process using a first developer to obtain a resist composition.
  • a method for inspecting a patternable resist composition comprising the following steps.
  • Step A2 A step of forming a resist film on the substrate using the resist composition.
  • Step C2 A step of bringing the resist film formed in step A2 into contact with a second developer different from the first developer.
  • Step X2 At least one measurement data selected from the group consisting of the number of defects on the surface of the substrate from which the resist film has been removed in step C2 and the rate of dissolution of the resist film by the second developer measured in step C2.
  • Step Y2 A step of determining whether or not the measurement data obtained in step X2 is within a preset allowable range.
  • the dissolution rate of the resist film formed using the resist composition in the second developer is the first It is characterized by having a higher dissolution rate than the developer.
  • the characteristics of the inspection method according to the present embodiment and the fact that the remarkable effects of the present invention can be obtained by using the second developer are the same as those of the first embodiment, and thus the description thereof will be omitted. Further, various materials such as the resist composition, the first developer, the second developer, and the substrate used in the inspection method according to the present embodiment are the same as those in the first embodiment, so the description is omitted. do. Each step of the inspection method according to this embodiment will be described below.
  • Step A2 In the inspection method according to the present embodiment, the step A2 of forming a resist film on the substrate using the resist composition is performed. Step A2 is the same as step A1 in the first embodiment.
  • Step C2 is a step of bringing the resist film formed in step A2 into contact with a second developer different from the first developer.
  • the specific procedure of step C2 is the same as step C1 of the first embodiment, except that the object to be brought into contact with the second developer is the resist film formed on the substrate using the resist composition in step A2. be. Therefore, step C2 can be performed according to the method described above (procedure of step C1).
  • step X2 At least one measurement data selected from the group consisting of the number of defects on the surface of the substrate from which the resist film has been removed in step C2, and the rate of dissolution of the resist film by the second developer measured in step C2. to get Hereinafter, in the process X2, the process of acquiring the number of defects on the surface of the substrate from which the resist film has been removed in the process C2 as measurement data is referred to as "process X2a”, and the resist film measured in the process C2 by the second developer The step of acquiring the dissolution rate as measurement data is referred to as "step X2b".
  • Step X2a is a step of dissolving the resist film formed in step A2 in step C2, then measuring the number of defects existing on the substrate surface from which the resist film has been removed, and obtaining the measurement data.
  • defect inspection in the process X2a can be measured using a defect inspection device (for example, defect inspection device KLA2925 manufactured by KLA Tencor).
  • step X2a preferably does not include the number of defects existing on the substrate (the number of defects derived from the substrate) before being subjected to step A2. That is, step X2a preferably includes a step of calculating the number of defects derived from the resist composition by subtracting the number of defects derived from the substrate from the number of defects measured by the defect inspection apparatus. . Thereby, the number of defects derived from the resist composition can be inspected with higher accuracy. If the number of defects originating from the substrate is already known from catalogs or the like, such a nominal value can be used.
  • Step X2b is a step of measuring the dissolution rate of the resist film in the second developer measured in step C2 and acquiring it as measurement data.
  • the dissolution rate of the resist film in the second developer in step X2b can be measured according to the method for measuring the first dissolution rate described above.
  • Step Y2 it is determined whether or not the measurement data obtained in step X2 is within a preset allowable range.
  • the allowable range is set in advance by the time step Y2 is performed, based on the type of measurement data acquired in step X2, the desired performance of the resist composition, and the like. Based on this allowable range, if the measurement data obtained in step X2 is within the allowable range, it is judged as "accepted", and if it is not within the allowable range, it is judged as "failed”.
  • Process Y2 can be performed in the same procedure as process Y1 of the first embodiment.
  • the allowable range compared with the measurement data in step Y2 is based on the type of measurement data and the expected performance of the resist composition, etc., without referring to past measurement data.
  • the range may be set based on the desired performance or the like.
  • a predetermined range of the number of defects can be set in advance as the allowable range for comparison with the number of defects present on the substrate surface obtained as the measurement data in step X2a.
  • the allowable range is, for example, 0.0001 to 10 pieces/cm 2 or less, preferably 0.0005 to 5 pieces/cm 2 or less, relative to the area of the substrate surface from which the resist film is removed. , 0.001 to 1/cm 2 or less.
  • the inspection method of the resist composition according to the first embodiment described above and the inspection method of the resist composition according to the second embodiment can be used for quality control of the manufactured composition.
  • this inspection method resist compositions whose measurement data are within the allowable range can be shipped as acceptable products.
  • the resist composition is not particularly limited as long as it is a resist composition capable of forming a resist pattern by exposure treatment and development treatment using the first developer.
  • the resist composition may be a positive resist composition or a negative resist composition.
  • the resist composition may be a resist composition for alkali development or a resist composition for organic solvent development.
  • the resist composition may be a chemically amplified resist composition or a non-chemically amplified resist composition.
  • the resist composition is typically a chemically amplified resist composition.
  • the exposure treatment preferably includes EUV exposure, KrF exposure, ArF exposure, or EB exposure, and more preferably EUV exposure.
  • Various components contained in the resist composition are described in detail below.
  • the resist composition contains, for example, an acid-decomposable resin (hereinafter also referred to as "resin (A)").
  • the resin (A) usually contains a group that is decomposed by the action of an acid to increase its polarity (hereinafter also referred to as "acid-decomposable group”), and preferably contains a repeating unit having an acid-decomposable group.
  • an acid-decomposable group typically when an alkaline developer is employed as the developer, a positive pattern is preferably formed, and development is performed. When an organic developer is used as the liquid, a negative pattern is preferably formed.
  • the repeating unit having an acid-decomposable group a repeating unit having an acid-decomposable group containing an unsaturated bond is preferable in addition to the repeating unit having an acid-decomposable group described below.
  • the resin (A) preferably has a repeating unit (Aa) having an acid-decomposable group (hereinafter also referred to as "repeating unit (Aa)").
  • the acid-decomposable group is a group that is decomposed by the action of an acid to generate a polar group, and preferably has a structure in which the polar group is protected by a leaving group that is released by the action of an acid.
  • the resin (A) preferably has a repeating unit (Aa) having a group that is decomposed by the action of an acid to form a polar group.
  • the resin having the repeating unit (Aa) has an increased polarity under the action of an acid, increasing the solubility in an alkaline developer and decreasing the solubility in an organic solvent.
  • the polar group is preferably an alkali-soluble group such as a carboxyl group, a phenolic hydroxyl group, a fluorinated alcohol group, a sulfonic acid group, a sulfonamide group, a sulfonylimide group, an (alkylsulfonyl) (alkylcarbonyl)methylene group, an (alkyl sulfonyl)(alkylcarbonyl)imide group, bis(alkylcarbonyl)methylene group, bis(alkylcarbonyl)imide group, bis(alkylsulfonyl)methylene group, bis(alkylsulfonyl)imide group, tris(alkylcarbonyl)methylene group, and , acidic groups such as tris(alkylsulfonyl)methylene groups, and alcoholic hydroxyl groups.
  • alkali-soluble group such as a carboxyl group, a phenolic hydroxyl group
  • the polar group is preferably a carboxyl group, a phenolic hydroxyl group, a fluorinated alcohol group (preferably a hexafluoroisopropanol group), or a sulfonic acid group.
  • Examples of the leaving group that leaves by the action of an acid include groups represented by formulas (Y1) to (Y4).
  • Formula (Y1) -C (Rx 1 ) (Rx 2 ) (Rx 3 )
  • Formula (Y3) -C(R 36 )(R 37 )(OR 38 )
  • each of Rx 1 to Rx 3 is independently an alkyl group (linear or branched), a cycloalkyl group (monocyclic or polycyclic), an alkenyl group (linear or branched chain), or an aryl group (monocyclic or polycyclic).
  • Rx 1 to Rx 3 are alkyl groups (linear or branched)
  • at least two of Rx 1 to Rx 3 are preferably methyl groups.
  • Rx 1 to Rx 3 preferably each independently represent a linear or branched alkyl group, and Rx 1 to Rx 3 each independently represent a linear alkyl group. is more preferred.
  • Rx 1 to Rx 3 may combine to form a monocyclic or polycyclic ring.
  • the alkyl group of Rx 1 to Rx 3 is preferably an alkyl group having 1 to 5 carbon atoms such as methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group and t-butyl group. .
  • the cycloalkyl groups represented by Rx 1 to Rx 3 include monocyclic cycloalkyl groups such as cyclopentyl and cyclohexyl groups, and polycyclic groups such as norbornyl, tetracyclodecanyl, tetracyclododecanyl and adamantyl groups. is preferred.
  • the aryl group represented by Rx 1 to Rx 3 is preferably an aryl group having 6 to 10 carbon atoms, such as phenyl group, naphthyl group and anthryl group.
  • a vinyl group is preferable as the alkenyl group for Rx 1 to Rx 3 .
  • the ring formed by combining two of Rx 1 to Rx 3 is preferably a cycloalkyl group.
  • the cycloalkyl group formed by combining two of Rx 1 to Rx 3 includes a monocyclic cycloalkyl group such as a cyclopentyl group or a cyclohexyl group, a norbornyl group, a tetracyclodecanyl group, and a tetracyclododecanyl group. or a polycyclic cycloalkyl group such as an adamantyl group, and more preferably a monocyclic cycloalkyl group having 5 to 6 carbon atoms.
  • the cycloalkyl group formed by combining two of Rx 1 to Rx 3 is, for example, a group in which one of the methylene groups constituting the ring has a heteroatom such as an oxygen atom, a heteroatom such as a carbonyl group, or a vinylidene group may be substituted.
  • these cycloalkyl groups one or more ethylene groups constituting the cycloalkane ring may be replaced with a vinylene group.
  • Rx 1 is a methyl group or an ethyl group
  • Rx 2 and Rx 3 combine to form the above-described cycloalkyl group. is preferred.
  • the resist composition is a resist composition for EUV exposure
  • two of alkyl groups, cycloalkyl groups, alkenyl groups, aryl groups, and Rx 1 to Rx 3 represented by Rx 1 to Rx 3 are bonded
  • the ring formed by the above preferably further has a fluorine atom or an iodine atom as a substituent.
  • R 36 to R 38 each independently represent a hydrogen atom or a monovalent organic group.
  • R 37 and R 38 may combine with each other to form a ring.
  • Monovalent organic groups include alkyl groups, cycloalkyl groups, aryl groups, aralkyl groups, alkenyl groups, and the like. It is also preferred that R 36 is a hydrogen atom.
  • the alkyl group, cycloalkyl group, aryl group, and aralkyl group may contain a heteroatom such as an oxygen atom and/or a group having a heteroatom such as a carbonyl group.
  • R 38 may combine with another substituent of the main chain of the repeating unit to form a ring.
  • the group formed by bonding R 38 and another substituent of the main chain of the repeating unit to each other is preferably an alkylene group such as a methylene group.
  • the resist composition is a resist composition for EUV exposure
  • the monovalent organic groups represented by R 36 to R 38 and the ring formed by combining R 37 and R 38 with each other are Furthermore, it is also preferable to have a fluorine atom or an iodine atom as a substituent.
  • L 1 and L 2 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, or a group combining these (for example, a group combining an alkyl group and an aryl group).
  • M represents a single bond or a divalent linking group.
  • Q is an alkyl group optionally containing a heteroatom, a cycloalkyl group optionally containing a heteroatom, an aryl group optionally containing a heteroatom, an amino group, an ammonium group, a mercapto group, a cyano group, an aldehyde group, or a group in which these are combined (for example, a group in which an alkyl group and a cycloalkyl group are combined).
  • Alkyl and cycloalkyl groups may, for example, have one of the methylene groups replaced by a heteroatom such as an oxygen atom or a heteroatom-bearing group such as a carbonyl group.
  • L 1 and L 2 is preferably a hydrogen atom, and the other is preferably an alkyl group, a cycloalkyl group, an aryl group, or a combination of an alkylene group and an aryl group. At least two of Q, M, and L1 may combine to form a ring (preferably a 5- or 6-membered ring).
  • L2 is preferably a secondary or tertiary alkyl group, more preferably a tertiary alkyl group.
  • Secondary alkyl groups include isopropyl, cyclohexyl and norbornyl groups, and tertiary alkyl groups include tert-butyl and adamantane groups.
  • the Tg (glass transition temperature) and activation energy of the resin (A) are increased in the repeating unit having an acid-decomposable group, which will be described later. can.
  • the alkyl group, cycloalkyl group, aryl group, and group combining these represented by L 1 and L 2 may further have , a fluorine atom or an iodine atom.
  • the alkyl group, cycloalkyl group, aryl group, and aralkyl group contain a heteroatom such as an oxygen atom in addition to the fluorine atom and the iodine atom (that is, the alkyl group, cycloalkyl group, aryl and aralkyl groups, for example, one of the methylene groups is replaced by a heteroatom such as an oxygen atom, or a group containing a heteroatom such as a carbonyl group.
  • the resist composition is, for example, a resist composition for EUV exposure, an alkyl group which may contain a heteroatom represented by Q, a cycloalkyl group which may contain a heteroatom, a heteroatom,
  • the heteroatom is selected from the group consisting of a fluorine atom, an iodine atom and an oxygen atom. It is also preferred that the heteroatom is
  • Ar represents an aromatic ring group.
  • Rn represents an alkyl group, a cycloalkyl group or an aryl group.
  • Rn and Ar may combine with each other to form a non-aromatic ring.
  • Ar is more preferably an aryl group.
  • the aromatic ring group represented by Ar and the alkyl group, cycloalkyl group and aryl group represented by Rn each have a fluorine atom as a substituent. and an iodine atom.
  • the polar when a non-aromatic ring is directly bonded to a polar group (or a residue thereof) in a leaving group that protects a polar group, the polar It is also preferred that the ring member atoms adjacent to the ring member atom directly bonded to the group (or residue thereof) do not have halogen atoms such as fluorine atoms as substituents.
  • the leaving group that leaves by the action of an acid is also a 2-cyclopentenyl group having a substituent (such as an alkyl group) such as a 3-methyl-2-cyclopentenyl group, and a 1,1,4,
  • a cyclohexyl group having a substituent (such as an alkyl group) such as a 4-tetramethylcyclohexyl group may also be used.
  • repeating unit (Aa) a repeating unit represented by formula (A) is also preferable.
  • L 1 represents a divalent linking group optionally having a fluorine atom or an iodine atom
  • R 1 is a hydrogen atom, a fluorine atom, an iodine atom, an alkyl group optionally having a fluorine atom or an iodine atom , or represents an aryl group which may have a fluorine atom or an iodine atom
  • R 2 represents a leaving group which may have a fluorine atom or an iodine atom after being eliminated by the action of an acid.
  • a preferred embodiment of the repeating unit represented by formula (A) includes an embodiment in which at least one of L 1 , R 1 and R 2 has a fluorine atom or an iodine atom.
  • L 1 represents a divalent linking group optionally having a fluorine atom or an iodine atom.
  • the divalent linking group optionally having a fluorine atom or an iodine atom includes —CO—, —O—, —S—, —SO—, —SO 2 —, a fluorine atom or an iodine atom.
  • L 1 is preferably -CO-, an arylene group, or an -arylene group - an alkylene group optionally having a fluorine atom or an iodine atom-, and -CO-, an arylene group, or an -arylene group-
  • An alkylene group - optionally having a fluorine atom or an iodine atom is more preferred.
  • a phenylene group is preferred as the arylene group.
  • Alkylene groups may be linear or branched.
  • the number of carbon atoms in the alkylene group is not particularly limited, it is preferably 1-10, more preferably 1-3.
  • the alkylene group has a fluorine atom or an iodine atom
  • the total number of fluorine atoms and iodine atoms contained in the alkylene group is not particularly limited, but is preferably 2 or more, more preferably 2 to 10, and even more preferably 3 to 6.
  • R 1 represents a hydrogen atom, a fluorine atom, an iodine atom, an alkyl group optionally having a fluorine atom or an iodine atom, or an aryl group optionally having a fluorine atom or an iodine atom.
  • Alkyl groups may be straight or branched. Although the number of carbon atoms in the alkyl group is not particularly limited, it is preferably 1-10, more preferably 1-3. The total number of fluorine atoms and iodine atoms contained in the alkyl group having fluorine atoms or iodine atoms is not particularly limited, but is preferably 1 or more, more preferably 1 to 5, and even more preferably 1 to 3.
  • the above alkyl group may contain a heteroatom such as an oxygen atom other than the halogen atom.
  • R 2 represents a leaving group that leaves by the action of an acid and may have a fluorine atom or an iodine atom.
  • the leaving group optionally having a fluorine atom or an iodine atom includes the leaving groups represented by the above formulas (Y1) to (Y4) and having a fluorine atom or an iodine atom, and the preferred embodiments are also the same. is.
  • repeating unit (Aa) a repeating unit represented by general formula (AI) is also preferable.
  • Xa 1 represents a hydrogen atom or an optionally substituted alkyl group.
  • T represents a single bond or a divalent linking group.
  • Rx 1 to Rx 3 each independently represent an alkyl group (linear or branched), a cycloalkyl group (monocyclic or polycyclic), an aryl group or an alkenyl group. However, when all of Rx 1 to Rx 3 are alkyl groups (linear or branched), at least two of Rx 1 to Rx 3 are preferably methyl groups. Two of Rx 1 to Rx 3 may combine to form a cycloalkyl group (monocyclic or polycyclic).
  • Examples of the optionally substituted alkyl group represented by Xa 1 include a methyl group and a group represented by -CH 2 -R 11 .
  • R 11 represents a halogen atom (such as a fluorine atom), a hydroxyl group, or a monovalent organic group, for example, an alkyl group having 5 or less carbon atoms which may be substituted with a halogen atom, or an alkyl group which may be substituted with a halogen atom Examples include acyl groups having 5 or less carbon atoms and alkoxy groups having 5 or less carbon atoms which may be substituted with halogen atoms, preferably alkyl groups having 3 or less carbon atoms, and more preferably methyl groups.
  • Xa 1 is preferably a hydrogen atom, a methyl group, a trifluoromethyl group, or a hydroxymethyl group.
  • Examples of the divalent linking group for T include an alkylene group, an aromatic ring group, a --COO--Rt-- group, and an --O--Rt-- group.
  • Rt represents an alkylene group or a cycloalkylene group.
  • T is preferably a single bond or a -COO-Rt- group.
  • Rt is preferably an alkylene group having 1 to 5 carbon atoms, a -CH 2 - group, a -(CH 2 ) 2 - group, or a -(CH 2 ) 3 - groups are more preferred.
  • the alkyl groups of Rx 1 to Rx 3 include alkyl groups having 1 to 4 carbon atoms such as methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group and t-butyl group. preferable.
  • Cycloalkyl groups of Rx 1 to Rx 3 include monocyclic cycloalkyl groups such as cyclopentyl group and cyclohexyl group, norbornyl group, tetracyclodecanyl group, tetracyclododecanyl group, and adamantyl group. is preferred.
  • the cycloalkyl group formed by combining two of Rx 1 to Rx 3 is preferably a monocyclic cycloalkyl group such as a cyclopentyl group and a cyclohexyl group, and also a norbornyl group and a tetracyclodecanyl group. , a tetracyclododecanyl group, and a polycyclic cycloalkyl group such as an adamantyl group. Among them, monocyclic cycloalkyl groups having 5 to 6 carbon atoms are preferred.
  • a cycloalkyl group formed by combining two of Rx 1 to Rx 3 is, for example, a group in which one of the methylene groups constituting the ring has a heteroatom such as an oxygen atom or a heteroatom such as a carbonyl group. may be replaced.
  • alkenyl groups for Rx 1 to Rx 3 include vinyl groups.
  • the aryl group of Rx 1 to Rx 3 includes a phenyl group.
  • Rx 1 is a methyl group or an ethyl group
  • Rx 2 and Rx 3 are preferably combined to form the above-mentioned cycloalkyl group.
  • substituents include an alkyl group (1 to 4 carbon atoms), a halogen atom, a hydroxyl group, an alkoxy group (1 to 4 carbon atoms), a carboxyl group, and an alkoxycarbonyl group. (2 to 6 carbon atoms) and the like.
  • the number of carbon atoms in the substituent is preferably 8 or less.
  • the repeating unit represented by the general formula (AI) is preferably an acid-decomposable (meth)acrylic acid tertiary alkyl ester-based repeating unit (Xa 1 represents a hydrogen atom or a methyl group, and T is a single bond It is a repeating unit representing
  • the resin (A) may have one type of repeating unit (Aa) alone, or may have two or more types.
  • the content of the repeating unit (Aa) (the total content when two or more repeating units (Aa) are present) is 15 to 80 mol% based on the total repeating units in the resin (A). is preferred, and 20 to 70 mol % is more preferred.
  • the resin (A) has at least one repeating unit selected from the group consisting of repeating units represented by the following general formulas (A-VIII) to (A-XII) as the repeating unit (Aa). is preferred.
  • R 5 represents a tert-butyl group or -CO-O-(tert-butyl) group.
  • R 6 and R 7 each independently represent a monovalent organic group. Monovalent organic groups include alkyl groups, cycloalkyl groups, aryl groups, aralkyl groups, and alkenyl groups.
  • p represents 1 or 2.
  • R 8 represents a hydrogen atom or an alkyl group having 1 to 3 carbon atoms
  • R 9 represents an alkyl group having 1 to 3 carbon atoms.
  • R 10 represents an alkyl group having 1 to 3 carbon atoms or an adamantyl group.
  • Resin (A) may have a repeating unit (A-1) having an acid group.
  • an acid group having a pKa of 13 or less is preferable.
  • the acid dissociation constant of the acid group is preferably 13 or less, more preferably 3-13, and even more preferably 5-10.
  • the content of the acid group in the resin (A) is not particularly limited, but is often 0.2 to 6.0 mmol/g.
  • the acid group is preferably, for example, a carboxyl group, a hydroxyl group, a phenolic hydroxyl group, a fluorinated alcohol group (preferably a hexafluoroisopropanol group), a sulfonic acid group, a sulfonamide group, or an isopropanol group.
  • one or more (preferably 1 to 2) fluorine atoms may be substituted with a group other than a fluorine atom (such as an alkoxycarbonyl group).
  • —C(CF 3 )(OH)—CF 2 — thus formed is also preferred as an acid group.
  • one or more of the fluorine atoms may be substituted with a group other than a fluorine atom to form a ring containing -C(CF 3 )(OH)-CF 2 -.
  • the repeating unit (A-1) having an acid group is a repeating unit having a structure in which the polar group is protected by a leaving group that leaves under the action of an acid, and a lactone group, a sultone group, or a carbonate group, which will be described later.
  • a repeating unit different from the repeating unit (A-2) having A repeating unit having an acid group may have a fluorine atom or an iodine atom.
  • repeating unit having an acid group for example, repeating units having a phenolic hydroxyl group described in paragraphs 0089 to 0100 of JP-A-2018-189758 can be suitably used.
  • the resist composition containing this resin (A) is preferable for KrF exposure, EB exposure or EUV exposure.
  • the content of the repeating unit having an acid group in the resin (A) is preferably 30 to 100 mol%, preferably 40 to 100 mol, based on the total repeating units in the resin (A). % is more preferred, and 50 to 100 mol % is even more preferred.
  • the resin (A) may have a repeating unit (A-2) having at least one selected from the group consisting of lactone structure, carbonate structure, sultone structure and hydroxyadamantane structure.
  • the lactone structure or sultone structure in the repeating unit having a lactone structure or sultone structure is not particularly limited, but is preferably a 5- to 7-membered ring lactone structure or a 5- to 7-membered ring sultone structure, and a 5- to 7-membered ring lactone structure with a bicyclo structure. , those in which another ring structure is condensed to form a spiro structure, or those in which a 5- to 7-membered ring sultone structure is condensed with another ring structure to form a bicyclo structure or a spiro structure is more preferred.
  • Repeating units having a lactone structure or sultone structure include repeating units described in paragraphs 0094 to 0107 of WO2016/136354.
  • Resin (A) may have a repeating unit having a carbonate structure.
  • the carbonate structure is preferably a cyclic carbonate structure.
  • Repeating units having a carbonate structure include repeating units described in paragraphs 0106 to 0108 of WO2019/054311.
  • the resin (A) may have a repeating unit having a hydroxyadamantane structure.
  • Repeating units having a hydroxyadamantane structure include repeating units represented by the following general formula (AIIa).
  • R 1 c represents a hydrogen atom, a methyl group, a trifluoromethyl group or a hydroxymethyl group.
  • R 2 c to R 4 c each independently represent a hydrogen atom or a hydroxyl group. However, at least one of R 2 c to R 4 c represents a hydroxyl group. It is preferable that one or two of R 2 c to R 4 c are hydroxyl groups and the rest are hydrogen atoms.
  • Resin (A) may have a repeating unit having a fluorine atom or an iodine atom.
  • Repeating units having a fluorine atom or an iodine atom include repeating units described in paragraphs 0080 to 0081 of JP-A-2019-045864.
  • the resin (A) may have, as a repeating unit other than the above, a repeating unit having a group that generates an acid upon exposure to radiation.
  • Repeating units having a fluorine atom or an iodine atom include repeating units described in paragraphs 0092 to 0096 of JP-A-2019-045864.
  • Resin (A) may have a repeating unit having an alkali-soluble group.
  • the alkali-soluble group include a carboxyl group, a sulfonamide group, a sulfonylimide group, a bissulphonylimide group, and an aliphatic alcohol group substituted with an electron-withdrawing group at the ⁇ -position (e.g., a hexafluoroisopropanol group). Carboxyl groups are preferred.
  • the repeating unit having an alkali-soluble group a repeating unit in which an alkali-soluble group is directly bonded to the main chain of the resin such as a repeating unit of acrylic acid or methacrylic acid, or a repeating unit to the main chain of the resin via a linking group.
  • a repeating unit of acrylic acid or methacrylic acid or a repeating unit to the main chain of the resin via a linking group.
  • the linking group may have a monocyclic or polycyclic cyclic hydrocarbon structure.
  • a repeating unit of acrylic acid or methacrylic acid is preferable.
  • the resin (A) may further have a repeating unit having neither an acid-decomposable group nor a polar group.
  • a repeating unit having neither an acid-decomposable group nor a polar group preferably has an alicyclic hydrocarbon structure.
  • Repeating units having neither an acid-decomposable group nor a polar group include, for example, repeating units described in paragraphs 0236 to 0237 of US Patent Application Publication No. 2016/0026083, and US Patent Application Publication No. Examples include repeating units described in paragraph 0433 of 2016/0070167.
  • the resin (A) may contain various repeating structural units for the purpose of adjusting dry etching resistance, suitability for standard developing solutions, substrate adhesion, resist profile, resolution, heat resistance, sensitivity, and the like. may have.
  • all of the repeating units are preferably composed of repeating units derived from (meth)acrylate monomers.
  • any of resins in which all repeating units are derived from methacrylate-based monomers, all repeating units are derived from acrylate-based monomers, and all repeating units are derived from methacrylate-based monomers and acrylate-based monomers are used. be able to. It is preferable that the repeating units derived from the acrylate monomer account for 50 mol % or less of the total repeating units in the resin (A).
  • the resin (A) When the resist composition is for argon fluoride (ArF) exposure, the resin (A) preferably has substantially no aromatic groups from the viewpoint of ArF light transmission. More specifically, the repeating unit having an aromatic group is preferably 5 mol% or less, more preferably 3 mol% or less, with respect to the total repeating units of the resin (A), ideally is 0 mol %, that is, it is more preferable not to have a repeating unit having an aromatic group. Further, when the resist composition is for ArF exposure, the resin (A) preferably has a monocyclic or polycyclic alicyclic hydrocarbon structure, and may contain neither fluorine atoms nor silicon atoms. preferable.
  • the resin (A) When the resist composition is for krypton fluoride (KrF) exposure, EB exposure or EUV exposure, the resin (A) preferably has a repeating unit having an aromatic hydrocarbon group, and a repeating unit having a phenolic hydroxyl group. It is more preferable to have units. Examples of the repeating unit having a phenolic hydroxyl group include repeating units exemplified as the repeating unit (A-1) having an acid group and repeating units derived from hydroxystyrene (meth)acrylate. Further, when the resist composition is for KrF exposure, EB exposure, or EUV exposure, the resin (A) contains a group (leaving group ) is also preferred to have a repeating unit having a structure protected by .
  • the content of repeating units having an aromatic hydrocarbon group contained in resin (A) is It is preferably 30 to 100 mol %, more preferably 40 to 100 mol %, even more preferably 50 to 100 mol %, based on the unit.
  • Resin (A) can be synthesized according to a conventional method (eg, radical polymerization).
  • the weight average molecular weight (Mw) of the resin (A) is preferably 1,000 to 200,000, more preferably 3,000 to 20,000, even more preferably 5,000 to 15,000.
  • the weight average molecular weight (Mw) of resin (A) is a polystyrene equivalent value measured by the GPC method described above.
  • the dispersity (molecular weight distribution) of the resin (A) is generally 1 to 5, preferably 1 to 3, more preferably 1.1 to 2.0.
  • the content of resin (A) is preferably 50 to 99.9% by mass, more preferably 60 to 99.0% by mass, based on the total solid content of the resist composition.
  • resin (A) may be used individually by 1 type, and may use 2 or more types together.
  • the solid content means the components that can constitute the resist film excluding the solvent. Even if the properties of the above components are liquid, they are treated as solids.
  • the resist composition contains a photoacid generator (P).
  • the photoacid generator (P) is not particularly limited as long as it is a compound that generates an acid upon exposure to radiation.
  • the photoacid generator (P) may be in the form of a low-molecular-weight compound, or may be in the form of being incorporated into a part of the polymer. Moreover, the form of a low-molecular-weight compound and the form incorporated into a part of a polymer may be used in combination.
  • the weight average molecular weight (Mw) is preferably 3000 or less, more preferably 2000 or less, and even more preferably 1000 or less.
  • the photoacid generator (P) When the photoacid generator (P) is in the form of being incorporated into a part of the polymer, it may be incorporated into a part of the resin (A), or may be incorporated into a resin different from the resin (A). good.
  • the photoacid generator (P) is preferably in the form of a low molecular weight compound.
  • the photoacid generator (P) is not particularly limited as long as it is a known one, but a compound that generates an organic acid by irradiation with radiation is preferable, and a photoacid generator having a fluorine atom or an iodine atom in the molecule is preferable. more preferred.
  • organic acid examples include sulfonic acid (aliphatic sulfonic acid, aromatic sulfonic acid, camphorsulfonic acid, etc.), carboxylic acid (aliphatic carboxylic acid, aromatic carboxylic acid, aralkyl carboxylic acid, etc.), carbonyl sulfonylimidic acid, bis(alkylsulfonyl)imidic acid, tris(alkylsulfonyl)methide acid and the like.
  • sulfonic acid aliphatic sulfonic acid, aromatic sulfonic acid, camphorsulfonic acid, etc.
  • carboxylic acid aliphatic carboxylic acid, aromatic carboxylic acid, aralkyl carboxylic acid, etc.
  • carbonyl sulfonylimidic acid bis(alkylsulfonyl)imidic acid
  • tris(alkylsulfonyl)methide acid and the like examples include sulfonic acid (
  • the volume of the acid generated from the photoacid generator (P) is not particularly limited, but is preferably 240 ⁇ 3 or more from the viewpoint of suppressing the diffusion of the acid generated by exposure to the non-exposed area and improving the resolution. , 305 ⁇ 3 or more is more preferable, 350 ⁇ 3 or more is still more preferable, and 400 ⁇ 3 or more is particularly preferable. From the viewpoint of sensitivity or solubility in a coating solvent, the volume of the acid generated from the photoacid generator (P) is preferably 1500 ⁇ 3 or less, more preferably 1000 ⁇ 3 or less, and even more preferably 700 ⁇ 3 or less. The value of the volume is obtained using "WinMOPAC" manufactured by Fujitsu Limited.
  • each acid is calculated by molecular force field calculation using the MM (Molecular Mechanics) 3 method.
  • the "accessible volume" of each acid can be calculated by determining the most stable conformations of and then performing molecular orbital calculations for these most stable conformations using the PM (Parameterized Model number) 3 method.
  • the structure of the acid generated from the photo-acid generator (P) is not particularly limited, but the acid generated from the photo-acid generator (P) and the resin ( It is preferred that the interaction between A) is strong.
  • the acid generated from the photoacid generator (P) is an organic acid, for example, a sulfonic acid group, a carboxylic acid group, a carbonylsulfonylimidic acid group, a bissulfonylimidic acid group, and trissulfonylmethide It is preferable to have a polar group in addition to the organic acid group such as an acid group.
  • Polar groups include, for example, ether groups, ester groups, amide groups, acyl groups, sulfo groups, sulfonyloxy groups, sulfonamide groups, thioether groups, thioester groups, urea groups, carbonate groups, carbamate groups, hydroxyl groups, and A mercapto group is mentioned.
  • the number of polar groups possessed by the generated acid is not particularly limited, and is preferably 1 or more, more preferably 2 or more. However, from the viewpoint of suppressing excessive development, the number of polar groups is preferably less than 6, more preferably less than 4.
  • the photoacid generator (P) is preferably a photoacid generator consisting of an anion portion and a cation portion from the viewpoint that the effects of the present invention are more excellent.
  • Examples of the photoacid generator (P) include photoacid generators described in paragraphs 0144 to 0173 of JP-A-2019-045864.
  • the content of the photoacid generator (P) is not particularly limited, it is preferably 5 to 50% by mass, more preferably 5 to 40% by mass, based on the total solid content of the resist composition in terms of more excellent effects of the present invention. is more preferred, and 5 to 35% by mass is even more preferred.
  • the photoacid generator (P) may be used alone or in combination of two or more. When two or more photoacid generators (P) are used in combination, the total amount is preferably within the above range.
  • the resist composition may contain an acid diffusion control agent (Q).
  • the acid diffusion control agent (Q) traps the acid generated from the photoacid generator (P) during exposure, and acts as a quencher that suppresses the reaction of the acid-decomposable resin in the unexposed area due to excess generated acid. It is something to do.
  • the acid diffusion control agent (Q) include, for example, a basic compound (DA), a basic compound (DB) whose basicity decreases or disappears upon exposure to radiation, and a photoacid generator (P).
  • Onium salts (DC) that are weak acids, low-molecular-weight compounds (DD) that have nitrogen atoms and groups that leave under the action of acids, and onium salt compounds (DE) that have nitrogen atoms in the cation portion are used.
  • a known acid diffusion control agent can be appropriately used in the resist composition.
  • paragraphs [0627]-[0664] of US Patent Application Publication No. 2016/0070167, paragraphs [0095]-[0187] of US Patent Application Publication No. 2015/0004544, US Patent Application Publication No. 2016 /0237190, paragraphs [0403] to [0423] and US Patent Application Publication No. 2016/0274458, paragraphs [0259] to [0328] the known compounds disclosed in the acid diffusion control agent It can be preferably used as (Q).
  • Examples of the basic compound (DA) include repeating units described in paragraphs 0188 to 0208 of JP-A-2019-045864.
  • an onium salt (DC), which is a relatively weak acid relative to the photoacid generator (P), can be used as the acid diffusion control agent (Q).
  • an onium salt that generates an acid that is relatively weak to the acid generated from the photoacid generator (P) When the photoacid generator (P) and an onium salt that generates an acid that is relatively weak to the acid generated from the photoacid generator (P) are mixed and used, actinic ray or radiation When the acid generated from the photoacid generator (P) upon irradiation collides with the onium salt having an unreacted weak acid anion, the weak acid is released by salt exchange to yield an onium salt having a strong acid anion. In this process, the strong acid is exchanged for a weak acid with lower catalytic activity, so that the acid is apparently deactivated and the acid diffusion can be controlled.
  • Examples of onium salts that are relatively weak acids with respect to the photoacid generator (P) include onium salts described in paragraphs 0226 to 0233 of JP-A-2019-070676.
  • the content of the acid diffusion control agent (Q) (the total if there are multiple types) is 0 with respect to the total solid content of the resist composition. .1 to 10.0% by mass is preferred, and 0.1 to 5.0% by mass is more preferred.
  • the acid diffusion controller (Q) may be used singly or in combination of two or more.
  • the resist composition may contain a hydrophobic resin different from the resin (A) as the hydrophobic resin (E).
  • the hydrophobic resin (E) is preferably designed to be unevenly distributed on the surface of the resist film, but unlike surfactants, it does not necessarily have a hydrophilic group in the molecule, may not contribute to uniform mixing. Effects of adding the hydrophobic resin (E) include control of the static and dynamic contact angles of the resist film surface with respect to water, suppression of outgassing, and the like.
  • the hydrophobic resin (E) is any one of “fluorine atom”, “silicon atom”, and " CH3 partial structure contained in the side chain portion of the resin” from the viewpoint of uneven distribution on the film surface layer. It is preferable to have more than one type, and more preferably to have two or more types. Moreover, the hydrophobic resin (E) preferably has a hydrocarbon group having 5 or more carbon atoms. These groups may be present in the main chain of the resin or may be substituted on the side chain.
  • the fluorine atoms and/or silicon atoms in the hydrophobic resin may be contained in the main chain of the resin, and may be contained in the side chains. may be included.
  • the partial structure having a fluorine atom is preferably an alkyl group having a fluorine atom, a cycloalkyl group having a fluorine atom, or an aryl group having a fluorine atom.
  • An alkyl group having a fluorine atom (preferably having 1 to 10 carbon atoms, more preferably 1 to 4 carbon atoms) is a linear or branched alkyl group in which at least one hydrogen atom is substituted with a fluorine atom. Furthermore, it may have a substituent other than a fluorine atom.
  • a cycloalkyl group having a fluorine atom is a monocyclic or polycyclic cycloalkyl group in which at least one hydrogen atom is substituted with a fluorine atom, and may further have a substituent other than a fluorine atom.
  • the aryl group having a fluorine atom include those in which at least one hydrogen atom of an aryl group such as a phenyl group and a naphthyl group is substituted with a fluorine atom, and further having a substituent other than a fluorine atom. good too.
  • Examples of repeating units having fluorine atoms or silicon atoms include those exemplified in paragraph 0519 of US Patent Application Publication No. 2012/0251948.
  • the hydrophobic resin (E) preferably has a CH3 partial structure in the side chain portion.
  • the CH3 partial structure of the side chain portion in the hydrophobic resin includes CH3 partial structures having ethyl groups, propyl groups, and the like.
  • the methyl group directly bonded to the main chain of the hydrophobic resin (E) (for example, the ⁇ -methyl group of the repeating unit having a methacrylic acid structure) is affected by the main chain and the surface of the hydrophobic resin (E) It is not included in the CH3 partial structure in the present invention because its contribution to uneven distribution is small.
  • hydrophobic resin (E) the resins described in JP-A-2011-248019, JP-A-2010-175859, and JP-A-2012-032544 can also be preferably used.
  • the content of the hydrophobic resin (E) is preferably 0.01 to 20% by mass, and 0.1 to 15% by mass is more preferred.
  • the resist composition may contain a solvent (F).
  • the solvent (F) includes (M1) propylene glycol monoalkyl ether carboxylate and (M2) propylene glycol monoalkyl ether, lactic acid ester, and acetic acid ester. , alkoxypropionate, chain ketone, cyclic ketone, lactone, and at least one selected from the group consisting of alkylene carbonate.
  • the solvent in this case may further contain components other than components (M1) and (M2).
  • the solvent containing the component (M1) or (M2) is used in combination with the resin (A) described above, the coatability of the resist composition is improved and a pattern with fewer development defects can be formed. Therefore, it is preferable.
  • examples of the solvent (F) include alkylene glycol monoalkyl ether carboxylate, alkylene glycol monoalkyl ether, alkyl lactate, and alkyl alkoxypropionate. , cyclic lactones (preferably having 4 to 10 carbon atoms), monoketone compounds which may contain a ring (preferably having 4 to 10 carbon atoms), alkylene carbonates, alkyl alkoxyacetates, and organic solvents such as alkyl pyruvates. be done.
  • the content of the solvent (F) in the resist composition is preferably determined so that the solid content concentration is 0.5 to 40% by mass. As one aspect of the resist composition, it is also preferable that the solid content concentration is 10% by mass or more.
  • the resist composition may contain a surfactant (H).
  • a surfactant By including the surfactant (H), it is possible to form a pattern with excellent adhesion and fewer development defects.
  • the surfactant (H) fluorine-based and/or silicon-based surfactants are preferred. Fluorinated and/or silicon-based surfactants include, for example, surfactants described in paragraph [0276] of US Patent Application Publication No. 2008/0248425.
  • F-top EF301 or EF303 (manufactured by Shin-Akita Kasei Co., Ltd.); Florard FC430, 431 or 4430 (manufactured by Sumitomo 3M Co., Ltd.); Megafac F171, F173, F176, F189, F113, F110, F177, F120 or R08 (manufactured by DIC Corporation); Surflon S-382, SC101, 102, 103, 104, 105 or 106 (manufactured by Asahi Glass Co., Ltd.); Troisol S-366 (manufactured by Troy Chemical Co., Ltd.); GF-300 or GF-150 (manufactured by Toagosei Chemical Co., Ltd.), Surflon S-393 (manufactured by Seimi Chemical Co., Ltd.); PF636, PF656, PF6320 or PF6520 (manufactured by OMNOVA); KH-20 (manufactured by
  • the surfactant (H) may be a fluoropolymer produced by a telomerization method (also called a telomer method) or an oligomerization method (also called an oligomer method). It may be synthesized using an aliphatic compound. Specifically, a polymer having a fluoroaliphatic group derived from this fluoroaliphatic compound may be used as the surfactant (H). This fluoroaliphatic compound can be synthesized, for example, by the method described in JP-A-2002-90991.
  • the poly(oxyalkylene) group includes a poly(oxyethylene) group, a poly(oxypropylene) group, and a poly(oxybutylene) group. It may be a unit having alkylenes with different chain lengths within the same chain length, such as a block linker of (block linker of oxyethylene and oxypropylene) or poly (block linker of oxyethylene and oxypropylene).
  • copolymers of a monomer having a fluoroaliphatic group and (poly(oxyalkylene)) acrylate (or methacrylate) are not only binary copolymers, but also monomers having two or more different fluoroaliphatic groups, A ternary or higher copolymer obtained by simultaneously copolymerizing two or more different (poly(oxyalkylene)) acrylates (or methacrylates) may also be used.
  • surfactants include Megafac F178, F-470, F-473, F-475, F-476, F-472 (manufactured by DIC Corporation), acrylates having a C 6 F 13 group ( or methacrylate) and (poly(oxyalkylene)) acrylate (or methacrylate) copolymers, acrylates (or methacrylates) with C3F7 groups and (poly(oxyethylene)) acrylates (or methacrylates) and ( poly (oxypropylene)) acrylate (or methacrylate) copolymers.
  • Surfactants other than fluorine-based and/or silicon-based surfactants described in paragraph [0280] of US Patent Application Publication No. 2008/0248425 may also be used.
  • surfactants (H) may be used singly or in combination of two or more.
  • the content of the surfactant (H) is preferably 0.0001-2% by mass, more preferably 0.0005-1% by mass, relative to the total solid content of the resist composition.
  • the resist composition may further contain a cross-linking agent, an alkali-soluble resin, a dissolution inhibiting compound, a dye, a plasticizer, a photosensitizer, a light absorber, and/or a compound that promotes solubility in a developer. .
  • a method for producing a resist composition according to the present invention will be described.
  • the method for producing a resist composition according to the present invention is not particularly limited as long as it has an inspection step of inspecting the resist composition according to this inspection method.
  • the method of preparing the resist composition used in the inspection step is not particularly limited, and examples thereof include compositions prepared by the following composition preparation step and compositions obtained by transfer or the like.
  • the method for producing a resist composition preferably has a composition preparation step of preparing a resist composition and an inspection step of inspecting the resist composition prepared in the composition preparation step according to this inspection method.
  • This inspection method is as described above, and the preferred embodiment is also the same.
  • the composition preparation step is not particularly limited as long as it is a step capable of producing the resist composition used in this inspection step.
  • the composition preparation step includes, for example, a step of mixing various components such as an acid-decomposable resin and a photoacid generator, and a step of dissolving each of the above components in a solvent and filtering using a filter. is preferred.
  • the filter filtration it is preferable to use a plurality of filters made of different materials (circulating filtration may be used). More specifically, it is preferable to connect a polyethylene filter with a pore size of 50 nm, a nylon filter with a pore size of 10 nm, and a polyethylene filter with a pore size of 3 to 5 nm in order to perform filtration.
  • Filtration is also preferably a method of performing circulation filtration twice or more.
  • the filtering step also has the effect of reducing the content of metal atoms in the resist composition.
  • the pressure difference between the filters is preferably as small as possible, preferably 0.1 MPa or less, more preferably 0.05 MPa or less, and even more preferably 0.01 MPa or less.
  • a method of performing circulation filtration using a filter in the production of the resist composition for example, a method of performing circulation filtration two or more times using a polytetrafluoroethylene filter having a pore size of 50 nm is also preferable.
  • a composition judged to be “acceptable” in the inspection process can be produced.
  • a resist composition that is judged to be “acceptable” in the inspection process and has excellent LWR performance after being stored for a predetermined period of time can be obtained.
  • a resist composition inspected by this inspection method is used, for example, for forming a resist film and forming a resist pattern.
  • a method for forming a resist film using a resist composition is not particularly limited, and examples thereof include a method including a step of forming a resist film on a substrate using a resist composition.
  • the procedure of the method of forming a resist pattern using the resist composition is not particularly limited, it preferably includes the following steps.
  • - Step 1 A step of applying a resist composition onto a substrate to form a resist film
  • Step 2 A step of exposing the resist film - Step 3: Using a first developer to develop the exposed resist film 3. Step of Forming a Pattern
  • Step 1 is a step of applying a resist composition onto a substrate to form a resist film.
  • the resist composition has already been explained. Further, the procedure of step 1 and the resist film formed in step 1 are the same as in step A1 of the inspection method described above, including preferred aspects, and thus description thereof is omitted.
  • a topcoat composition may be used to form a topcoat on top of the resist film. It is preferable that the topcoat composition does not mix with the resist film and can be uniformly coated on the upper layer of the resist film.
  • the thickness of the top coat is preferably 10-200 nm, more preferably 20-100 nm.
  • the topcoat is not particularly limited, and a conventionally known topcoat can be formed by a conventionally known method.
  • Step 2 is a step of exposing the resist film formed in step 1.
  • FIG. Examples of the exposure method include a method of irradiating the formed resist film with radiation through a predetermined mask.
  • Process 2 is the same as process B1 of the inspection method described above, including preferred aspects, and thus description thereof is omitted.
  • Step 3 is a step of developing the exposed resist film using a first developer to form a pattern.
  • a method of immersing the substrate in a bath filled with the first developer for a certain period of time (dip method), or development by raising the first developer on the surface of the substrate by surface tension and allowing it to stand for a certain period of time.
  • a method (paddle method), a method of spraying the first developer onto the surface of the substrate (spray method), and a method of ejecting the first developer while scanning the ejection nozzle at a constant speed onto the substrate rotating at a constant speed.
  • a continuous method (dynamic dispensing method) can be mentioned.
  • a step of stopping the development may be performed while replacing the solvent with another solvent.
  • the development time is not particularly limited as long as the unexposed area or exposed area to be dissolved is sufficiently dissolved, and is preferably 10 to 300 seconds, more preferably 20 to 120 seconds.
  • the temperature of the first developer is preferably 0 to 50°C, more preferably 15 to 35°C.
  • the first developer is as already explained.
  • the pattern forming method preferably includes a step of washing with a rinse after step 3.
  • Pure water is an example of the rinse solution used in the rinse step after the step of developing with a developer.
  • An appropriate amount of surfactant may be added to pure water.
  • An appropriate amount of surfactant may be added to the rinse solution.
  • the substrate may be etched using the formed pattern as a mask. That is, the pattern formed in step 3 may be used as a mask to process the substrate (or the underlying film and substrate) to form a pattern on the substrate.
  • the method of processing the substrate (or the underlying film and the substrate) is not particularly limited, but the substrate (or the underlying film and the substrate) is dry-etched using the pattern formed in step 3 as a mask.
  • a method of forming a pattern is preferred. Dry etching may be one-step etching or multi-step etching. When the etching is a multistage etching, the etching in each stage may be the same process or a different process.
  • etching any known method can be used for etching, and various conditions and the like are appropriately determined according to the type of the substrate, the application, and the like.
  • Proc. of SPIE Vol. 6924, 692420 (2008), Japanese Patent Application Laid-Open No. 2009-267112, etc. can be used for etching.
  • the method described in "Chapter 4 Etching" of "Semiconductor Process Textbook, 4th Edition, 2007 Publisher: SEMI Japan” can also be used.
  • oxygen plasma etching is preferable as dry etching.
  • Various materials used in forming the resist pattern preferably do not contain impurities such as metals.
  • the content of impurities contained in these materials is preferably 1 mass ppm or less, more preferably 10 mass ppb or less, still more preferably 100 mass ppt or less, particularly preferably 10 mass ppt or less, and most preferably 1 mass ppt or less. .
  • the metal impurities include Na, K, Ca, Fe, Cu, Mn, Mg, Al, Li, Cr, Ni, Sn, Ag, As, Au, Ba, Cd, Co, Mo, Zr, Pb, Examples include Ti, V, W, and Zn.
  • the filter pore size is preferably 0.20 ⁇ m or less, more preferably 0.05 ⁇ m or less, and even more preferably 0.01 ⁇ m or less.
  • Preferred materials for the filter include fluororesins such as polytetrafluoroethylene (PTFE) and perfluoroalkoxyalkane (PFA), polyolefin resins such as polypropylene and polyethylene, and polyamide resins such as nylon 6 and nylon 66.
  • PTFE polytetrafluoroethylene
  • PFA perfluoroalkoxyalkane
  • a filter pre-washed with an organic solvent may be used.
  • multiple or multiple types of filters may be connected in series or in parallel for use.
  • filters with different pore sizes and/or materials may be used in combination. Further, various materials may be filtered multiple times, and the process of filtering multiple times may be a circulation filtration process.
  • a circulation filtration process for example, a method disclosed in Japanese Patent Application Laid-Open No. 2002-062667 is preferable.
  • the filter a filter with reduced extractables as disclosed in JP-A-2016-201426 is preferable.
  • impurities may be removed using an adsorbent, or filter filtration and an adsorbent may be used in combination.
  • the adsorbent a known adsorbent can be used.
  • an inorganic adsorbent such as silica gel or zeolite, or an organic adsorbent such as activated carbon can be used.
  • metal adsorbents include those disclosed in JP-A-2016-206500.
  • selecting raw materials with a low metal content as raw materials constituting various materials selecting raw materials with a low metal content as raw materials constituting various materials, performing filter filtration on raw materials constituting various materials, Alternatively, distillation may be performed under conditions in which contamination is suppressed as much as possible by lining or coating the inside of the apparatus with a fluororesin or the like. Preferred conditions for filtering the raw materials constituting various materials are the same as those described above.
  • a resist film and a resist pattern formed using the resist composition may be used in the manufacture of electronic devices.
  • the electronic device is not particularly limited, and examples thereof include electronic devices mounted in electrical and electronic equipment (household appliances, OA (Office Automation), media-related equipment, optical equipment, communication equipment, etc.).
  • the weight average molecular weight (Mw) and dispersity (Mw/Mn) of Resin P-1 were measured by GPC (carrier: tetrahydrofuran (THF)) in terms of standard polystyrene. Also, the resin composition ratio (mol% ratio) was measured by 13 C-NMR (Nuclear Magnetic Resonance).
  • Resin P-1 (31.6 g).
  • the compositional ratio (molar ratio) of the repeating units determined by the NMR (nuclear magnetic resonance) method was 25/40/20/3/12.
  • the obtained resin P-1 had a weight average molecular weight (Mw) of 10,000 and a dispersity (Mw/Mn) of 1.6.
  • SL-1 Propylene glycol monomethyl ether acetate (PGMEA)
  • PGME Propylene glycol monomethyl ether
  • SL-3 ⁇ -butyrolactone
  • Resin P-1, photoacid generator PAG-1, quencher Q-1, and solvents SL-1, SL-2 and SL-3 were mixed so as to have the composition shown in Table 1 below.
  • the resulting mixture was filtered through a polyethylene filter having a pore size of 0.03 ⁇ m to prepare a resin composition (resist composition R1).
  • resist composition R1 Using the resist composition R1 thus obtained, the measurements and judgments described later were carried out.
  • Resist composition R1 was manufactured 12 times according to the manufacturing method described above, and lot numbers were given in the order of manufacture. For each production lot, the LWR performance was measured using the following first developer after one day and one month from the production of the resist by the method shown below. Further, for each production lot, various tests were performed using the following first developer and second developer, and measurement data was obtained.
  • the silicon wafer having the resist film obtained by the above procedure was subjected to pattern exposure using an EUV scanner NXE3300 (NA 0.33, ⁇ 0.9/0.7, dipole illumination) manufactured by ASML.
  • NXE3300 NA 0.33, ⁇ 0.9/0.7, dipole illumination
  • ASML Standard Metal Organic Chemical Vapor
  • a mask having a line width of 30 nm on the wafer and a line:space ratio of 1:1 was used. After that, it was baked at 110° C. for 60 seconds (Post Exposure Bake; PEB). After the silicon wafer having the pattern-exposed resist film is immersed in the first developer and puddle-developed for 30 seconds, the silicon wafer having the resist film is taken out from the first developer and rotated at a rotation speed of 4000 rpm for 30 seconds. Thus, a line-and-space pattern with a pitch of 60 nm was obtained.
  • the silicon wafer with the resist film obtained by the above procedure was exposed using an EUV scanner NXE3300 (NA 0.33, ⁇ 0.9/0.7, dipole illumination) manufactured by ASML at an exposure dose of 30 mJ/cm 2 .
  • Pattern exposure was performed.
  • As the reticle a mask having a line width of 30 nm on the wafer and a line:space ratio of 1:1 was used. After that, it was baked (PEB) at 110° C. for 60 seconds.
  • the silicon wafer having the pattern-exposed resist film was immersed in the first developer or the second developer and puddle-developed for 30 seconds, the silicon wafer having the resist film was removed from the developer and rotated at 4000 rpm for 30 seconds. By rotating, a line-and-space pattern with a pitch of 60 nm was obtained.
  • the line width of the resulting pattern was measured using an SEM (“CG-4100” manufactured by Hitachi High-Tech Co., Ltd.) to obtain measurement data.
  • a 6% halftone mask having a line width of 100 nm on the wafer and a line:space ratio of 1:1 was used as the reticle. After that, it was baked (PEB) at 110° C. for 60 seconds. After puddle developing the silicon wafer having the pattern-exposed resist film with the first developer or the second developer for 30 seconds, the silicon wafer having the resist film is removed from the developer and rotated at a rotation speed of 4000 rpm for 30 seconds. A line-and-space pattern with a pitch of 200 nm was obtained. The line width of the resulting pattern was measured using an SEM (“CG-4100” manufactured by Hitachi High-Tech Co., Ltd.) to obtain measurement data.
  • SEM SEM
  • An organic antireflection film-forming composition ARC29SR (manufactured by Nissan Chemical Industries, Ltd.) was applied onto a silicon wafer, and the coating film was baked at 205° C. for 60 seconds. Thus, an antireflection film with a thickness of 90 nm was formed on the silicon wafer.
  • a resist composition R1 was applied thereon and baked at 100° C. for 60 seconds to form a resist film with a thickness of 35 nm.
  • An ArF excimer laser immersion scanner (ASML XT1700i, NA 1.20, Dipole, outer sigma 0.900, inner sigma 0.700, Y deflection) was applied to the silicon wafer having the resist film obtained by the above procedure.
  • a 6% halftone mask having a line width of 75 nm on the wafer and a line:space ratio of 1:1 was used. After that, it was baked (PEB) at 110° C. for 60 seconds. After puddle developing the silicon wafer having the pattern-exposed resist film with the first developer or the second developer for 30 seconds, the silicon wafer having the resist film is removed from the developer and rotated at a rotation speed of 4000 rpm for 30 seconds. A line-and-space pattern with a pitch of 150 nm was obtained. The line width of the resulting pattern was measured using an SEM (“CG-4100” manufactured by Hitachi High-Tech Co., Ltd.) to obtain measurement data.
  • SEM SEM
  • the mask blank having the resist film obtained by the above procedure was exposed using an electron beam exposure apparatus (EBM-9000 manufactured by NuFlare Technology Co., Ltd., acceleration voltage 50 kV) at an exposure dose of 100 ⁇ C/cm 2 . Pattern exposure was performed.
  • As the reticle a 6% halftone mask having a line width of 75 nm on the wafer and a line:space ratio of 1:1 was used. At this time, the drawing was performed so that the line width on the blank was 50 nm and a line and space ratio of 1:1 was formed. After that, it was baked (PEB) at 110° C. for 60 seconds.
  • the silicon wafer having the resist film is removed from the developer and rotated at a rotation speed of 4000 rpm for 30 seconds.
  • a line-and-space pattern with a pitch of 100 nm was obtained.
  • the line width of the resulting pattern was measured using an SEM (“CG-4100” manufactured by Hitachi High-Tech Co., Ltd.) to obtain measurement data.
  • ⁇ KrF exposure> A line-and-space pattern with a pitch of 200 nm was formed on a silicon wafer using resist composition R1 according to the method described in [Measurement of pattern line width] ⁇ KrF exposure>.
  • the obtained pattern was subjected to defect inspection using a defect inspection apparatus KLA2925 manufactured by KLA Tencor, and the number of defects (defect number) existing on the surface of the silicon wafer was measured.
  • ⁇ ArF exposure> A line-and-space pattern with a pitch of 150 nm was formed on a silicon wafer using resist composition R1 according to the method described in [Measurement of pattern line width] ⁇ ArF exposure>.
  • the obtained pattern was subjected to defect inspection using a defect inspection apparatus KLA2925 manufactured by KLA Tencor, and the number of defects (defect number) existing on the surface of the silicon wafer was measured.
  • ⁇ KrF exposure> An organic antireflection film-forming composition DUV44 (manufactured by Brewer Science) was applied onto a silicon wafer, and the coating film was baked at 205° C. for 60 seconds to form an underlayer film having a thickness of 60 nm.
  • a resist composition R1 was applied thereon and baked at 100° C. for 60 seconds to form a resist film with a thickness of 35 nm.
  • the silicon wafer having the resist film obtained by the above procedure was subjected to open frame exposure at an exposure dose of 100 mJ/cm 2 using a KrF excimer laser scanner (ASML, PAS5500/850) (NA 0.80). gone. Then, it was baked at 110° C.
  • the film thickness (FT1) of the resist film after PEB was measured using an optical interference film thickness measuring device.
  • the silicon wafer having the resist film was removed from the developer and rotated at 4000 rpm for 30 seconds. rice field.
  • the film thickness (FT2) of the resist film after development of the obtained silicon wafer was measured. From the obtained film thicknesses FT1 and FT2, the resist film minimum dissolution rate (Rmin) was calculated using the following formula, and measurement data was acquired.
  • Rmin (FT1-FT2)/30 (nm/sec)
  • ARC29SR organic antireflection film-forming composition
  • a resist composition R1 was applied thereon and baked at 100° C. for 60 seconds to form a resist film having a thickness of 35 nm.
  • the silicon wafer having the resist film obtained by the above procedure was subjected to open frame exposure at an exposure dose of 40 mJ/cm 2 using an ArF excimer laser immersion scanner (manufactured by ASML, XT1700i, NA 1.20). .
  • Steps A2, C2 and X2a A silicon wafer was coated with the resist composition R1 and baked at 100° C. for 60 seconds to form a resist film with a thickness of 35 nm. Next, the resist film was removed by applying the first developer or the second developer to the silicon wafer with the resist film (discharged at a flow rate of 1 mL/S for 10 seconds). A defect inspection is performed on the silicon wafer after the removal process using a dark field defect inspection device SP5 manufactured by KLA Tencor, and the number of defects with a size of 19 nm or more existing on the surface of the silicon wafer. (number of defects) was measured.
  • the judgment method is evaluated as appropriate, and if the 11th and 12th production lots are judged to be acceptable, or the 11th and 12th production lots are judged to be acceptable When it was determined to be unacceptable, it was evaluated that the determination method was inappropriate.
  • Example 1 Comparative Example 1: Determination using pattern line width measurement data (EUV exposure)] Based on the data shown in Table 2, determination method 1-1 using the measurement data of the pattern line width of the resist pattern formed by EUV exposure for the resist compositions of lot 11 and lot 12 to be determined. Each judgment of 1 to 3 was performed, and the validity of the judgment was evaluated. As the reference values, the measurement data of the first lot (lot No. 1) is used in judgment method 1-1, the measurement data of the immediately preceding lot is used in judgment method 1-2, and the five immediately preceding lots are used in judgment method 1-3. was used (average of 5 lots). In addition, the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 5% ( ⁇ 5%) with respect to the reference value''. The results are summarized in Table 3.
  • Example 1 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 1-1 to 1-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate.
  • Comparative Example 1 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 1-1 to 1-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 2 Comparative Example 2: Determination using pattern line width measurement data (KrF exposure)
  • determination method 2-1 using the measurement data of the pattern line width of the resist pattern formed by KrF exposure for the resist compositions of lot 11 and lot 12 to be determined. 2-3 each judgment was performed, and the validity of the judgment was evaluated.
  • the measurement data of the first lot (lot No. 1) is used in judgment method 2-1
  • the measurement data of the immediately preceding lot is used in judgment method 2-2
  • the five previous lots are used in judgment method 2-3. was used (average of 5 lots).
  • the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 5% ( ⁇ 5%) with respect to the reference value''. The results are summarized in Table 4.
  • Example 2 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 2-1 to 2-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate.
  • Comparative Example 2 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 2-1 to 2-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 3 Comparative Example 3: Determination using pattern line width measurement data (ArF exposure)] Based on the data shown in Table 2, determination method 3-1 using the measurement data of the pattern line width of the resist pattern formed by ArF exposure for the resist compositions of lot 11 and lot 12 to be determined. Each judgment of ⁇ 3-3 was performed, and the validity of the judgment was evaluated. As the reference value, the measurement data of the first lot (lot No. 1) is used in the judgment method 3-1, the measurement data of the immediately preceding lot is used in the judgment method 3-2, and the previous 5 lots are used in the judgment method 3-3. was used (average of 5 lots). In addition, the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 5% ( ⁇ 5%) with respect to the reference value''. The results are summarized in Table 5.
  • Example 3 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 3-1 to 3-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate.
  • Comparative Example 3 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 3-1 to 3-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 4 Comparative Example 4: Determination using pattern line width measurement data (EB exposure)
  • determination method 4-1 using the measurement data of the pattern line width of the resist pattern formed by EB exposure for the resist compositions of lot 11 and lot 12 to be determined. 4-3 each judgment was performed, and the validity of the judgment was evaluated.
  • the measurement data of the first lot (lot No. 1) is used in judgment method 4-1
  • the measurement data of the immediately preceding lot is used in judgment method 4-2
  • the five immediately preceding lots are used in judgment method 4-3. was used (average of 5 lots).
  • the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 5% ( ⁇ 5%) with respect to the reference value''. Results are summarized in Table 6.
  • Example 4 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 4-1 to 4-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate.
  • Comparative Example 4 in which the determination was made using the measurement data obtained using the first developer, in any of the determination methods 4-1 to 4-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 5 Comparative Example 5: Determination using pattern defect measurement data (EUV exposure)
  • the resist compositions of lot 11 and lot 12 to be judged are judged using the measurement data of the pattern defects of the resist pattern formed by EUV exposure (judgment method 5). and evaluated the validity of the judgment.
  • the allowable range was set to "less than 100 ( ⁇ 100) defects per silicon wafer”. Results are summarized in Table 7.
  • Example 5 in which the determination was made using the measurement data obtained using the second developer, only lot No. 12, which had been manufactured for one month, was determined to be unacceptable. , the determination method of Example 5 was found to be appropriate. On the other hand, in Comparative Example 5 in which the determination was made using the measurement data obtained using the first developer, lot No. 12, which had been manufactured for one month, was also determined to be acceptable. Therefore, the determination method of Comparative Example 5 was found to be incorrect.
  • Example 6 Comparative Example 6: Determination using pattern defect measurement data (ArF exposure)
  • the resist compositions of lot 11 and lot 12 to be judged are judged using the measurement data of the pattern defects of the resist pattern formed by ArF exposure (judgment method 6). and evaluated the validity of the judgment.
  • the allowable range was set to "less than 100 ( ⁇ 100) defects per silicon wafer”. Results are summarized in Table 8.
  • Example 6 in which the determination was made using the measurement data obtained using the second developer, only lot No. 12, which had been manufactured for one month, was determined to be unacceptable. , the determination method of Example 6 was found to be appropriate. On the other hand, in Comparative Example 6 in which the determination was made using the measurement data obtained using the first developer, lot No. 12, which had been manufactured for one month, was also determined to be acceptable. Therefore, the determination method of Comparative Example 6 was found to be incorrect.
  • Example 7 Comparative Example 7: Determination using pattern defect measurement data (KrF exposure)
  • the resist compositions of lot 11 and lot 12 to be judged are judged using the measurement data of the pattern defects of the resist pattern formed by KrF exposure (judgment method 7). and evaluated the validity of the judgment.
  • the allowable range was set to "less than 100 ( ⁇ 100) defects per silicon wafer”. Results are summarized in Table 9.
  • Example 7 in which the determination was made using the measurement data obtained using the second developer, only lot No. 12, which had been manufactured for one month, was determined to be unacceptable. , the determination method of Example 7 was found to be appropriate. On the other hand, in Comparative Example 7 in which the determination was made using the measurement data obtained using the first developer, lot No. 12, which had been manufactured for one month, was also determined to be acceptable. Therefore, the determination method of Comparative Example 7 was found to be incorrect.
  • Example 8 Comparative Example 8 Determination using Rmin measurement data (EUV exposure)] Based on the data shown in Table 2, the determination method using the measurement data of the minimum dissolution rate (Rmin) of the resist film formed by EUV exposure for the resist compositions of lot 11 and lot 12 to be evaluated. Each determination of 8-1 to 8-3 was performed to evaluate the validity of the determination. As the reference value, the measurement data of the first lot (lot No. 1) is used in judgment method 8-1, the measurement data of the immediately preceding lot is used in judgment method 8-2, and the five immediately preceding lots are used in judgment method 8-3. was used (average of 5 lots). In addition, the allowable range for each determination method was set to "less than ⁇ 10% ( ⁇ 10%) of the difference between the measurement data and the reference value with respect to the reference value". The results are summarized in Table 10.
  • Example 8 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 8-1 to 8-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate. On the other hand, in Comparative Example 8 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 8-1 to 8-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 9 in which the determination was made using the measurement data obtained using the second developer, one month had passed since production in any of determination methods 9-1 to 9-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate.
  • Comparative Example 9 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 9-1 to 9-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 10 Comparative Example 10: Determination using Rmin measurement data (ArF exposure)
  • the determination method using the measurement data of the minimum dissolution rate (Rmin) of the resist film formed by ArF exposure for the resist compositions of lot 11 and lot 12 was determined.
  • Each determination of 10-1 to 10-3 was performed to evaluate the validity of the determination.
  • the measurement data of the first lot (lot No. 1) is used in determination method 10-1
  • the measurement data of the immediately preceding lot is used in determination method 10-2
  • the five immediately preceding lots are used in determination method 10-3. was used (average of 5 lots).
  • the allowable range for each determination method was set to "less than ⁇ 10% ( ⁇ 10%) of the difference between the measurement data and the reference value with respect to the reference value”. Results are summarized in Table 12.
  • Example 10 in which the determination was made using the measurement data obtained using the second developer, one month has passed since the production in any of the determination methods 10-1 to 10-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate.
  • Comparative Example 10 in which the determination was made using the measurement data obtained using the first developer, in any of the determination methods 10-1 to 10-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 11 Comparative Example 11: Determination using measurement data of defects after removal of resist film
  • the resist compositions of lot 11 and lot 12 to be judged are judged using the measurement data of the number of defects on the surface of the silicon wafer after removing the resist film (judgment Method 11) was performed to evaluate the validity of the judgment.
  • the allowable range was set to "the number of defects per silicon wafer is less than 100 ( ⁇ 100)”. Results are summarized in Table 13.
  • Example 11 in which the determination was made using the measurement data obtained using the second developer, only lot No. 12, which had been manufactured for one month, was determined to be unacceptable. , the determination method of Example 11 was found to be appropriate. On the other hand, in Comparative Example 11 in which the determination was made using the measurement data obtained using the first developer, lot No. 12, which had been manufactured for one month, was also determined to be acceptable. Therefore, the determination method of Comparative Example 11 was found to be incorrect.
  • Example 12 Comparative Example 12: Determination using Rmax measurement data
  • determination method 12-1 using the measurement data of the maximum dissolution rate (Rmax) of the formed resist film for the resist compositions of lot 11 and lot 12 to be determined. ⁇ 12-3 judgment was made and the validity of the judgment was evaluated.
  • the measurement data of the first lot (lot No. 1) is used in determination method 12-1
  • the measurement data of the immediately preceding lot is used in determination method 12-2
  • the five immediately preceding lots are used in determination method 12-3. was used (average of 5 lots).
  • the allowable range for each determination method was set to "less than ⁇ 10% ( ⁇ 10%) of the difference between the measurement data and the reference value with respect to the reference value”. Results are summarized in Table 14.
  • Example 12 in which the determination was made using the measurement data obtained using the second developer, one month has passed since production in any of determination methods 12-1 to 12-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate. On the other hand, in Comparative Example 12 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 12-1 to 12-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • the weight average molecular weight (Mw) and dispersity (Mw/Mn) of Resin P-2 were measured by GPC (carrier: tetrahydrofuran (THF)) in terms of standard polystyrene. Also, the resin composition ratio (mol% ratio) was measured by 13 C-NMR (Nuclear Magnetic Resonance).
  • Resin P-2 (32.6 g).
  • the compositional ratio (molar ratio) of the repeating units determined by the NMR (nuclear magnetic resonance) method was 30/10/30/10/20.
  • the obtained resin P-2 had a weight average molecular weight (Mw) of 9,000 and a dispersity (Mw/Mn) of 1.6.
  • Resin P-2, photoacid generator PAG-2, quencher Q-2, and solvents SL-1, SL-2 and SL-3 were mixed to give the composition shown in Table 15 below.
  • Solvents SL-1, SL-2 and SL-3 are the same as the solvents contained in resist composition R1. Then, the resulting mixture was filtered through a polyethylene filter having a pore size of 0.03 ⁇ m to prepare a resin composition (resist composition R2). Using the resist composition R2 thus obtained, the measurements and judgments described later were carried out.
  • Resist composition R2 was manufactured 12 times according to the manufacturing method described above, and lot numbers were assigned in order of manufacture. For each production lot, the LWR performance was measured using the following first developer after one day and one month from the production of the resist by the method shown below. Further, for each production lot, various tests were performed using the following first developer and second developer, and measurement data was obtained.
  • the silicon wafer having the resist film obtained by the above procedure was subjected to pattern exposure using an EUV scanner NXE3300 (NA 0.33, ⁇ 0.9/0.7, dipole illumination) manufactured by ASML.
  • NXE3300 NA 0.33, ⁇ 0.9/0.7, dipole illumination
  • ASML standard metal-oxide-semiconductor
  • As the reticle a mask having a line width of 30 nm on the wafer and a line:space ratio of 1:1 was used. After that, it was baked (PEB) at 120° C. for 60 seconds. After the silicon wafer having the pattern-exposed resist film is immersed in the first developer and puddle-developed for 30 seconds, the silicon wafer having the resist film is taken out from the first developer and rotated at a rotation speed of 4000 rpm for 30 seconds. Thus, a line-and-space pattern with a pitch of 60 nm was obtained.
  • the silicon wafer with the resist film obtained by the above procedure was exposed using an EUV scanner NXE3300 (NA 0.33, ⁇ 0.9/0.7, dipole illumination) manufactured by ASML at an exposure dose of 35 mJ/cm 2 .
  • Pattern exposure was performed.
  • As the reticle a mask having a line width of 30 nm on the wafer and a line:space ratio of 1:1 was used. After that, it was baked (PEB) at 120° C. for 60 seconds.
  • the silicon wafer having the pattern-exposed resist film was immersed in the first developer or the second developer and puddle-developed for 30 seconds, the silicon wafer having the resist film was removed from the developer and rotated at 4000 rpm for 30 seconds. By rotating, a line-and-space pattern with a pitch of 60 nm was obtained.
  • the line width of the resulting pattern was measured using an SEM (“CG-4100” manufactured by Hitachi High-Tech Co., Ltd.) to obtain measurement data.
  • a 6% halftone mask having a line width of 100 nm on the wafer and a line:space ratio of 1:1 was used as the reticle. After that, it was baked (PEB) at 120° C. for 60 seconds. After puddle developing the silicon wafer having the pattern-exposed resist film with the first developer or the second developer for 30 seconds, the silicon wafer having the resist film is removed from the developer and rotated at a rotation speed of 4000 rpm for 30 seconds. A line-and-space pattern with a pitch of 200 nm was obtained. The line width of the resulting pattern was measured using an SEM (“CG-4100” manufactured by Hitachi High-Tech Co., Ltd.) to obtain measurement data.
  • SEM SEM
  • An organic antireflection film-forming composition ARC29SR (manufactured by Nissan Chemical Industries, Ltd.) was applied onto a silicon wafer, and the coating film was baked at 205° C. for 60 seconds. Thus, an antireflection film with a thickness of 90 nm was formed on the silicon wafer.
  • a resist composition R2 was applied thereon and baked at 90° C. for 60 seconds to form a resist film with a thickness of 35 nm.
  • An ArF excimer laser immersion scanner (ASML XT1700i, NA 1.20, Dipole, outer sigma 0.900, inner sigma 0.700, Y deflection) was applied to the silicon wafer having the resist film obtained by the above procedure.
  • a 6% halftone mask having a line width of 75 nm on the wafer and a line:space ratio of 1:1 was used. After that, it was baked (PEB) at 120° C. for 60 seconds. After puddle developing the silicon wafer having the pattern-exposed resist film with the first developer or the second developer for 30 seconds, the silicon wafer having the resist film is removed from the developer and rotated at a rotation speed of 4000 rpm for 30 seconds. A line-and-space pattern with a pitch of 150 nm was obtained. The line width of the resulting pattern was measured using an SEM (“CG-4100” manufactured by Hitachi High-Tech Co., Ltd.) to obtain measurement data.
  • SEM SEM
  • the mask blank having the resist film obtained by the above procedure was exposed using an electron beam exposure apparatus (EBM-9000 manufactured by NuFlare Technology Co., Ltd., acceleration voltage 50 kV) at an exposure dose of 110 ⁇ C/cm 2 . Pattern exposure was performed.
  • As the reticle a 6% halftone mask having a line width of 75 nm on the wafer and a line:space ratio of 1:1 was used. At this time, the drawing was performed so that the line width on the blank was 50 nm and a line and space ratio of 1:1 was formed. After that, it was baked (PEB) at 120° C. for 60 seconds.
  • the silicon wafer having the resist film is removed from the developer and rotated at a rotation speed of 4000 rpm for 30 seconds.
  • a line-and-space pattern with a pitch of 100 nm was obtained.
  • the line width of the resulting pattern was measured using an SEM (“CG-4100” manufactured by Hitachi High-Tech Co., Ltd.) to obtain measurement data.
  • ⁇ KrF exposure> A line-and-space pattern with a pitch of 200 nm was formed on a silicon wafer using resist composition R2 according to the method described in the above [Measurement of pattern line width] ⁇ KrF exposure>.
  • the obtained pattern was subjected to defect inspection using a defect inspection apparatus KLA2925 manufactured by KLA Tencor, and the number of defects (defect number) existing on the surface of the silicon wafer was measured.
  • ⁇ ArF exposure> A line-and-space pattern with a pitch of 150 nm was formed on a silicon wafer using the resist composition R2 according to the method described in the above [Measurement of pattern line width] ⁇ ArF exposure>.
  • the obtained pattern was subjected to defect inspection using a defect inspection apparatus KLA2925 manufactured by KLA Tencor, and the number of defects (defect number) existing on the surface of the silicon wafer was measured.
  • ⁇ KrF exposure> An organic antireflection film-forming composition DUV44 (manufactured by Brewer Science) was applied onto a silicon wafer, and the coating film was baked at 205° C. for 60 seconds to form an underlayer film having a thickness of 60 nm.
  • a resist composition R2 was applied thereon and baked at 90° C. for 60 seconds to form a resist film with a thickness of 35 nm.
  • the silicon wafer having the resist film obtained by the above procedure was subjected to open frame exposure at an exposure dose of 110 mJ/cm 2 using a KrF excimer laser scanner (ASML, PAS5500/850) (NA 0.80). gone. Then, it was baked at 120° C.
  • the film thickness (FT1) of the resist film after PEB was measured using an optical interference type film thickness measuring device.
  • the silicon wafer having the resist film was removed from the developer and rotated at 4000 rpm for 30 seconds. rice field.
  • the film thickness (FT2) of the resist film after development of the obtained silicon wafer was measured. From the obtained film thicknesses FT1 and FT2, the resist film minimum dissolution rate (Rmin) was calculated using the following formula, and measurement data was acquired.
  • Rmin (FT1-FT2)/30 (nm/sec)
  • ARC29SR organic antireflection film-forming composition
  • a resist composition R2 was applied thereon and baked at 90° C. for 60 seconds to form a resist film with a thickness of 35 nm.
  • the silicon wafer having the resist film obtained by the above procedure was subjected to open frame exposure at an exposure dose of 45 mJ/cm 2 using an ArF excimer laser immersion scanner (manufactured by ASML, XT1700i, NA 1.20). .
  • Steps A2, C2 and X2a A silicon wafer was coated with the resist composition R2 and baked at 90° C. for 60 seconds to form a resist film with a thickness of 35 nm. Next, the resist film was removed by applying the first developer or the second developer to the silicon wafer with the resist film (discharged at a flow rate of 1 mL/S for 10 seconds). A defect inspection is performed on the silicon wafer after the removal process using a dark field defect inspection device SP5 manufactured by KLA Tencor, and the number of defects with a size of 19 nm or more existing on the surface of the silicon wafer. (number of defects) was measured.
  • the judgment method is evaluated as appropriate, and if the 11th and 12th production lots are judged to be acceptable, or the 11th and 12th production lots are judged to be acceptable When it was determined to be unacceptable, it was evaluated that the determination method was inappropriate.
  • Example 13, Comparative Example 13 Determination using pattern line width measurement data (EUV exposure)] Based on the data shown in Table 16, determination method 1-1 using the measurement data of the pattern line width of the resist pattern formed by EUV exposure for the resist compositions of lot 11 and lot 12 to be determined. Each judgment of 1 to 3 was performed, and the validity of the judgment was evaluated. As the reference values, the measurement data of the first lot (lot No. 1) is used in judgment method 1-1, the measurement data of the immediately preceding lot is used in judgment method 1-2, and the five immediately preceding lots are used in judgment method 1-3. was used (average of 5 lots). In addition, the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 5% ( ⁇ 5%) with respect to the reference value''. Results are summarized in Table 17.
  • Example 13 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 1-1 to 1-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate. On the other hand, in Comparative Example 13, in which the determination was made using the measurement data obtained using the first developer, in any of the determination methods 1-1 to 1-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 14 Comparative Example 14: Determination using pattern line width measurement data (KrF exposure)] Based on the data shown in Table 16, determination method 2-1 using the measurement data of the pattern line width of the resist pattern formed by KrF exposure for the resist compositions of lot 11 and lot 12 to be determined. 2-3 each judgment was performed, and the validity of the judgment was evaluated.
  • the measurement data of the first lot (lot No. 1) is used in judgment method 2-1
  • the measurement data of the immediately preceding lot is used in judgment method 2-2
  • the five previous lots are used in judgment method 2-3. was used (average of 5 lots).
  • the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 5% ( ⁇ 5%) with respect to the reference value''. Results are summarized in Table 18.
  • Example 14 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 2-1 to 2-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate. On the other hand, in Comparative Example 14 in which the determination was made using the measurement data obtained using the first developer, in any of the determination methods 2-1 to 2-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 15, Comparative Example 15 Determination using pattern line width measurement data (ArF exposure)] Based on the data shown in Table 16, determination method 3-1 using the measurement data of the pattern line width of the resist pattern formed by ArF exposure for the resist compositions of lot 11 and lot 12 to be determined. Each judgment of ⁇ 3-3 was performed, and the validity of the judgment was evaluated. As the reference value, the measurement data of the first lot (lot No. 1) is used in the judgment method 3-1, the measurement data of the immediately preceding lot is used in the judgment method 3-2, and the previous 5 lots are used in the judgment method 3-3. was used (average of 5 lots). In addition, the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 5% ( ⁇ 5%) with respect to the reference value''. Results are summarized in Table 19.
  • Example 15 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 3-1 to 3-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate. On the other hand, in Comparative Example 15 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 3-1 to 3-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 16, Comparative Example 16 Determination using pattern line width measurement data (EB exposure)] Based on the data shown in Table 16, determination method 4-1 using the measurement data of the pattern line width of the resist pattern formed by EB exposure for the resist compositions of lot 11 and lot 12 to be determined. 4-3 each judgment was performed, and the validity of the judgment was evaluated. As the reference value, the measurement data of the first lot (lot No. 1) is used in judgment method 4-1, the measurement data of the immediately preceding lot is used in judgment method 4-2, and the five immediately preceding lots are used in judgment method 4-3. was used (average of 5 lots). In addition, the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 5% ( ⁇ 5%) with respect to the reference value''. Results are summarized in Table 20.
  • Example 16 in which the determination was made using the measurement data obtained using the second developer, one month had elapsed since production in any of determination methods 4-1 to 4-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate. On the other hand, in Comparative Example 16 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 4-1 to 4-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 17 Comparative Example 17: Determination using pattern defect measurement data (EUV exposure)
  • the resist compositions of lot 11 and lot 12 to be judged are judged using the measurement data of the pattern defects of the resist pattern formed by EUV exposure (judgment method 5). and evaluated the validity of the judgment.
  • the allowable range was set to "less than 100 ( ⁇ 100) defects per silicon wafer”. Results are summarized in Table 21.
  • Example 17 in which the determination was made using the measurement data obtained using the second developer, only lot No. 12, which had been manufactured for one month, was determined to be unacceptable. , the determination method of Example 17 was found to be appropriate. On the other hand, in Comparative Example 17 in which the determination was made using the measurement data obtained using the first developer, lot No. 12, which had been manufactured for one month, was also determined to be acceptable. was found to be incorrect.
  • Example 18 Comparative Example 18: Determination using pattern defect measurement data (ArF exposure)] Based on the data shown in Table 16, the resist compositions of Lot 11 and Lot 12 to be judged are judged using the measurement data of the pattern defects of the resist pattern formed by ArF exposure (judgment method 6). and evaluated the validity of the judgment. In determination method 6, the allowable range was set to "less than 100 ( ⁇ 100) defects per silicon wafer". Results are summarized in Table 22.
  • Example 18 in which the determination was made using the measurement data obtained using the second developer, only lot No. 12, which had been manufactured for one month, was determined to be unacceptable. , the determination method of Example 18 was found to be appropriate. On the other hand, in Comparative Example 18 in which the determination was made using the measurement data obtained using the first developer, lot No. 12, which had been manufactured for one month, was also determined to be acceptable. Therefore, the determination method of Comparative Example 18 was found to be incorrect.
  • Example 19 Comparative Example 19: Determination using pattern defect measurement data (KrF exposure)
  • the resist compositions of Lot 11 and Lot 12 to be judged are judged using the measurement data of the pattern defects of the resist pattern formed by KrF exposure (Judgment method 7). and evaluated the validity of the judgment.
  • the allowable range was set to "less than 100 ( ⁇ 100) defects per silicon wafer”. Results are summarized in Table 23.
  • Example 19 in which the determination was made using the measurement data obtained using the second developer, only lot No. 12, which had been manufactured for one month, was determined to be unacceptable. , the determination method of Example 19 was found to be appropriate. On the other hand, in Comparative Example 19 in which the determination was made using the measurement data obtained using the first developer, lot No. 12, which had been manufactured for one month, was also determined to be acceptable. Therefore, the determination method of Comparative Example 19 was found to be incorrect.
  • Example 20, Comparative Example 20 Determination using Rmin measurement data (EUV exposure)] Based on the data shown in Table 16, the determination method using the measurement data of the minimum dissolution rate (Rmin) of the resist film formed by EUV exposure for the resist compositions of lot 11 and lot 12 to be evaluated. Each determination of 8-1 to 8-3 was performed to evaluate the validity of the determination. As the reference value, the measurement data of the first lot (lot No. 1) is used in judgment method 8-1, the measurement data of the immediately preceding lot is used in judgment method 8-2, and the five immediately preceding lots are used in judgment method 8-3. was used (average of 5 lots). In addition, the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 10% ( ⁇ 10%) with respect to the reference value''. Results are summarized in Table 24.
  • Example 20 in which the determination was made using the measurement data obtained using the second developer, one month has passed since the production in any of determination methods 8-1 to 8-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate.
  • Comparative Example 20 in which the determination was made using the measurement data obtained using the first developer, in any of the determination methods 8-1 to 8-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 21, Comparative Example 21 Determination using Rmin measurement data (KrF exposure)
  • Rmin minimum dissolution rate
  • Each determination of 9-1 to 9-3 was performed, and the validity of the determination was evaluated.
  • the measurement data of the first lot (lot No. 1) is used in judgment method 9-1
  • the measurement data of the immediately preceding lot is used in judgment method 9-2
  • the five immediately preceding lots are used in judgment method 9-3. was used (average of 5 lots).
  • the allowable range for each determination method was set to "less than ⁇ 10% ( ⁇ 10%) of the difference between the measurement data and the reference value with respect to the reference value”. Results are summarized in Table 25.
  • Example 21 in which the determination was made using the measurement data obtained using the second developer, one month had passed since the production in any of determination methods 9-1 to 9-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate. On the other hand, in Comparative Example 21 in which determination was made using the measurement data obtained using the first developer, in any of determination methods 9-1 to 9-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 22, Comparative Example 22 Determination using Rmin measurement data (ArF exposure)] Based on the data shown in Table 16, the determination method using the measurement data of the minimum dissolution rate (Rmin) of the resist film formed by ArF exposure for the resist compositions of lot 11 and lot 12 to be determined. Each determination of 10-1 to 10-3 was performed to evaluate the validity of the determination. As the reference values, the measurement data of the first lot (lot No. 1) is used in determination method 10-1, the measurement data of the immediately preceding lot is used in determination method 10-2, and the five immediately preceding lots are used in determination method 10-3. was used (average of 5 lots). In addition, the permissible range of each determination method was set to ⁇ the difference between the measured data and the reference value is less than ⁇ 10% ( ⁇ 10%) with respect to the reference value''. Results are summarized in Table 26.
  • Example 22 in which the determination was made using the measurement data obtained using the second developer, one month had passed since production in any of determination methods 10-1 to 10-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate.
  • Comparative Example 22 in which the determination was made using the measurement data obtained using the first developer, in any of the determination methods 10-1 to 10-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • Example 23 in which the determination was made using the measurement data obtained using the second developer, only lot No. 12, which had been manufactured for one month, was determined to be unacceptable. , the determination method of Example 23 was found to be appropriate. On the other hand, in Comparative Example 23 in which the determination was made using the measurement data obtained using the first developer, lot No. 12, which had been manufactured for one month, was also determined to be acceptable. Therefore, the determination method of Comparative Example 23 was found to be incorrect.
  • Example 24, Comparative Example 24 Determination using Rmax measurement data
  • determination method 12-1 using the measurement data of the maximum dissolution rate (Rmax) of the formed resist film for the resist compositions of lot 11 and lot 12 to be determined. ⁇ 12-3 judgment was made and the validity of the judgment was evaluated.
  • the measurement data of the first lot (lot No. 1) is used in determination method 12-1
  • the measurement data of the immediately preceding lot is used in determination method 12-2
  • the five immediately preceding lots are used in determination method 12-3. was used (average of 5 lots).
  • the allowable range for each determination method was set to "less than ⁇ 10% ( ⁇ 10%) of the difference between the measurement data and the reference value with respect to the reference value”. Results are summarized in Table 28.
  • Example 24 in which the determination was made using the measurement data obtained using the second developer, one month has passed since production in any of determination methods 12-1 to 12-3. Since only lot No. 12 was judged to be unacceptable, each judgment method was found to be appropriate. On the other hand, in Comparative Example 24 in which the determination was made using the measurement data obtained using the first developer, in any of the determination methods 12-1 to 12-3, lot No. 12, which was manufactured one month later, Since it was judged as a pass, it turned out that each judgment method is unjust.
  • the inspection method of the present invention exhibits the effect of the present invention that the LWR performance of the resist composition after storage for a predetermined period can be easily determined. was done.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

所定期間保存した後のLWR性能が良好なレジスト組成物を容易に判定できるレジスト組成物の検査方法を提供する。また、レジスト組成物の製造方法、及び、レジスト組成物を提供する。レジスト組成物の検査方法は、露光処理及び第1現像液を用いた現像処理を実施してレジストパターンを形成可能なレジスト組成物を検査する方法であって、レジスト組成物を用いてレジスト膜を基板上に形成する工程A1と、レジスト膜を露光する工程B1と、レジスト膜に第1現像液よりもレジスト膜の溶解速度が大きい第2現像液を接触させる工程C1と、特定の測定データを取得する工程X1と、測定データが予め設定された許容範囲に含まれるか否かを判定する工程Y1とを有する。或いは、レジスト組成物を用いてレジスト膜を基板上に形成する工程A2と、レジスト膜に第2現像液を接触させる工程C2と、特定の測定データを取得する工程X2と、測定データが予め設定された許容範囲に含まれるか否かを判定する工程Y2とを有する。

Description

レジスト組成物の検査方法、レジスト組成物の製造方法、レジスト組成物
 本発明は、レジスト組成物の検査方法、レジスト組成物の製造方法、及び、レジスト組成物に関する。
 従来、IC(Integrated Circuit、集積回路)及びLSI(Large Scale Integrated circuit、大規模集積回路)等の半導体デバイスの製造プロセスにおいては、感活性光線性又は感放射線性組成物(以下「レジスト組成物」ともいう。)を用いたリソグラフィーによる微細加工が行われている。近年、集積回路の高集積化に伴い、サブミクロン領域又はクオーターミクロン領域の超微細パターン形成が要求されている。
 それに伴い、半導体素子の微細化のために、露光光源の短波長化及び投影レンズの高開口数(高NA)化が進み、248nmの波長を有するKrFエキシマレーザー、又は、193nmの波長を有するArFエキシマレーザーを光源とする露光機が開発されている。また、昨今では、極紫外線(EUV光:Extreme Ultraviolet)又は電子線(EB:Electron Beam)を光源としたパターン形成方法も検討されつつある。
 例えば、特許文献1には、有機溶剤を含む現像液を用いてネガ型のパターンを形成する方法が開示されている。
特開2015-036786号公報
 レジスト組成物はロット間での性能差が少ないことが望ましいことから、従来、レジスト組成物を調製するたびに、レジスト組成物を用いて露光処理及び現像処理を行い、パターンのサイズ等の品質検査を実施していた。
 本発明者らは、レジスト組成物の品質検査方法について検討したところ、パターンのサイズがより微細化している昨今において、従来の検査方法では基準に適合しているレジスト組成物であっても、レジスト組成物を所定期間保存した後、パターンの形成に用いた場合、形成されるパターンのLWR(line width roughness)性能が劣化する場合があることを知見した。
 本発明は、上記実情に鑑みて、所定期間保存した後のLWR性能が良好なレジスト組成物を容易に判定できるレジスト組成物の検査方法を提供することを課題とする。
 また、本発明は、レジスト組成物の製造方法、及び、レジスト組成物を提供することも課題とする。
 本発明者らは、以下の構成により上記課題を解決できることを見出した。
〔1〕 露光処理及び第1現像液を用いた現像処理を実施してレジストパターンを形成可能なレジスト組成物を検査するレジスト組成物の検査方法であって、上記レジスト組成物を用いてレジスト膜を基板上に形成する工程A1と、上記工程A1で形成される上記レジスト膜を露光する工程B1と、上記工程B1で露光されたレジスト膜に上記第1現像液とは異なる第2現像液を接触させる工程C1と、上記工程C1により形成されるレジストパターンの線幅、上記工程C1により形成されるレジストパターンの欠陥数、及び、上記工程C1において測定される上記露光されたレジスト膜の上記第2現像液による溶解速度からなる群から選択される少なくとも1つの測定データを取得する工程X1と、上記工程X1で得られた測定データが予め設定された許容範囲に含まれるか否かを判定する工程Y1と、を有し、上記レジスト組成物を用いて形成されるレジスト膜の上記第2現像液による溶解速度が、上記レジスト組成物を用いて形成されるレジスト膜の上記第1現像液による溶解速度よりも大きい、レジスト組成物の検査方法。
〔2〕 上記第1現像液が酢酸ブチル及び炭化水素系溶剤を含む、〔1〕に記載のレジスト組成物の検査方法。
〔3〕 上記炭化水素系溶剤がウンデカンである、〔2〕に記載のレジスト組成物の検査方法。
〔4〕 上記第2現像液が実質的に酢酸ブチルのみを含む、〔1〕~〔3〕のいずれか1つに記載のレジスト組成物の検査方法。
〔5〕 上記工程B1において、EUV露光、KrF露光、ArF露光、及び、EB露光からなる群より選択される少なくとも1つを用いて上記レジスト膜を露光する、〔1〕~〔4〕のいずれか1つに記載のレジスト組成物の検査方法。
〔6〕 上記レジスト組成物を用いて形成されるレジスト膜の、上記第1現像液による溶解速度に対する上記第2現像液による溶解速度の比率が1.0超100以下である、〔1〕~〔5〕のいずれか1つに記載のレジスト組成物の検査方法。
〔7〕 露光処理及び第1現像液を用いた現像処理を実施してレジストパターンを形成可能なレジスト組成物を検査するレジスト組成物の検査方法であって、上記レジスト組成物を用いてレジスト膜を基板上に形成する工程A2と、上記工程A2で形成されるレジスト膜に上記第1現像液とは異なる第2現像液を接触させる工程C2と、上記工程C2により上記レジスト膜が除去された上記基板の表面の欠陥数、及び、上記工程C2において測定される上記レジスト膜の上記第2現像液による溶解速度からなる群から選択される少なくとも1つの測定データを取得する工程X2と、上記工程X2で得られた測定データが予め設定された許容範囲に含まれるか否かを判定する工程Y2と、を有し、上記レジスト組成物を用いて形成されるレジスト膜の上記第2現像液による溶解速度が、上記レジスト組成物を用いて形成されるレジスト膜の上記第1現像液による溶解速度よりも大きい、レジスト組成物の検査方法。
〔8〕 上記第1現像液が酢酸ブチル及び炭化水素系溶剤を含む、〔7〕に記載のレジスト組成物の検査方法。
〔9〕 上記炭化水素系溶剤がウンデカンである、〔8〕に記載のレジスト組成物の検査方法。
〔10〕 上記第2現像液が実質的に酢酸ブチルのみを含む、〔7〕~〔9〕のいずれか1つに記載のレジスト組成物の検査方法。
〔11〕 上記レジスト組成物を用いて形成されるレジスト膜の、上記第1現像液による溶解速度に対する上記第2現像液による溶解速度の比率が1.0超100以下である、〔7〕~〔10〕のいずれか1つに記載のレジスト組成物の検査方法。
〔12〕 上記レジスト組成物が、EUV露光を含む露光処理と、上記第1現像液を用いた現像処理によりレジストパターンを形成可能なレジスト組成物である、〔1〕~〔11〕のいずれか1つに記載のレジスト組成物の検査方法。
〔13〕 上記レジスト組成物が、芳香族炭化水素基を有する繰り返し単位を有する樹脂を含む、〔1〕~〔12〕のいずれか1つに記載のレジスト組成物の検査方法。
〔14〕 レジスト組成物を調製する組成物調製工程と、上記組成物調製工程で調製されるレジスト組成物を、〔1〕~〔13〕のいずれか1つに記載の検査方法に従って検査する検査工程と、を有する、レジスト組成物の製造方法。
〔15〕 〔1〕~〔13〕のいずれか1つに記載の検査方法で検査する検査工程を有する製造方法により製造されるレジスト組成物。
 本発明によれば、所定期間保存した後のLWR性能が良好なレジスト組成物を容易に判定できるレジスト組成物の検査方法を提供できる。
 また、本発明によれば、レジスト組成物の製造方法、及び、レジスト組成物を提供できる。
 以下、本発明について詳細に説明する。
 以下に記載する構成要件の説明は、本発明の代表的な実施態様に基づいてなされる場合があるが、本発明はそのような実施態様に限定されない。
 本明細書中における基(原子団)の表記について、本発明の趣旨に反しない限り、置換及び無置換を記していない表記は、置換基を有さない基と共に置換基を有する基をも包含する。例えば、「アルキル基」とは、置換基を有さないアルキル基(無置換アルキル基)のみならず、置換基を有するアルキル基(置換アルキル基)をも包含する。また、本明細書中における「有機基」とは、少なくとも1個の炭素原子を含む基をいう。
 置換基は、特に断らない限り、1価の置換基が好ましい。
 本明細書中における「活性光線」又は「放射線」とは、例えば、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線(EUV光:Extreme Ultraviolet)、X線、及び電子線(EB:Electron Beam)等を意味する。本明細書中における「光」とは、活性光線又は放射線を意味する。
 本明細書中における「露光」とは、特に断らない限り、水銀灯の輝線スペクトル、エキシマレーザーに代表される遠紫外線、極紫外線、X線、及びEUV光等による露光のみならず、電子線、及びイオンビーム等の粒子線による描画も含む。
 本明細書において、「~」とはその前後に記載される数値を下限値及び上限値として含む意味で使用される。
 本明細書において表記される二価の基の結合方向は、特に断らない限り制限されない。例えば、「X-Y-Z」なる式で表される化合物中の、Yが-COO-である場合、Yは、-CO-O-であってもよく、-O-CO-であってもよい。また、上記化合物は「X-CO-O-Z」であってもよく「X-O-CO-Z」であってもよい。
 本明細書において、(メタ)アクリレートはアクリレート及びメタクリレートを表し、(メタ)アクリルはアクリル及びメタクリルを表す。
 本明細書において、樹脂の重量平均分子量(Mw)、数平均分子量(Mn)、及び、分散度(分子量分布ともいう)(Mw/Mn)は、GPC(Gel Permeation Chromatography)装置(東ソー社製HLC-8120GPC)によるGPC測定(溶媒:テトラヒドロフラン、流量(サンプル注入量):10μL、カラム:東ソー社製TSK gel Multipore HXL-M、カラム温度:40℃、流速:1.0mL/分、検出器:示差屈折率検出器(Refractive Index Detector))によるポリスチレン換算値として定義される。
 本明細書において酸解離定数(pKa)とは、水溶液中でのpKaを表し、具体的には、下記ソフトウェアパッケージ1を用いて、ハメットの置換基定数及び公知文献値のデータベースに基づいた値を、計算により求められる値である。本明細書中に記載したpKaの値は、全て、このソフトウェアパッケージを用いて計算により求めた値を示す。
 ソフトウェアパッケージ1:Advanced Chemistry Development (ACD/Labs) Software V8.14 for Solaris (1994-2007 ACD/Labs)。
 一方で、pKaは、分子軌道計算法によっても求められる。この具体的な方法としては、熱力学サイクルに基づいて、水溶液中におけるH解離自由エネルギーを計算することで算出する手法が挙げられる。H解離自由エネルギーの計算方法については、例えばDFT(密度汎関数法)により計算することができるが、他にも様々な手法が文献等で報告されており、これに制限されるものではない。なお、DFTを実施できるソフトウェアは複数存在するが、例えば、Gaussian16が挙げられる。
 本明細書中のpKaとは、上述した通り、ソフトウェアパッケージ1を用いて、ハメットの置換基定数及び公知文献値のデータベースに基づいた値を計算により求められる値を指すが、この手法によりpKaが算出できない場合には、DFT(密度汎関数法)に基づいてGaussian16により得られる値を採用するものとする。
 また、本明細書中のpKaは、上述した通り「水溶液中でのpKa」を指すが、水溶液中でのpKaが算出できない場合には、「ジメチルスルホキシド(DMSO)溶液中でのpKa」を採用するものとする。
 本明細書において、ハロゲン原子としては、例えば、フッ素原子、塩素原子、臭素原子及びヨウ素原子が挙げられる。
 本明細書において、固形分とは、溶剤以外の全ての成分を意味する。なお、固形分の性状が液状であっても、固形分として計算する。
 本明細書において、例えば「組成物Aが成分Bのみを実質的に含む」と記載した場合、成分Bの含有量が、組成物Aの全質量に対して95質量%以上であることを意図する。また、本明細書において、例えば「組成物Aが成分Cを実質的に含まない」と記載した場合、成分Cの含有量が、組成物Aの全質量に対して5質量%以下であることを意図する。
[レジスト組成物の検査方法]
 以下、本発明のレジスト組成物の検査方法について、具体的な実施形態を挙げて説明する。
〔第1実施形態〕
 本発明の第1実施形態に係るレジスト組成物の検査方法は、露光処理及び第1現像液を用いた現像処理を実施してレジストパターンを形成可能なレジスト組成物を検査する方法であって、以下の工程を有する。
 工程A1:レジスト組成物を用いてレジスト膜を基板上に形成する工程。
 工程B1:工程A1で形成されるレジスト膜を露光する工程。
 工程C1:工程B1で露光されたレジスト膜に第1現像液とは異なる第2現像液を接触させる工程。
 工程X1:工程C1により形成されるレジストパターンの線幅、工程C1により形成されるレジストパターンの欠陥数、及び、工程C1において測定される露光されたレジスト膜の第2現像液による溶解速度からなる群から選択される少なくとも1つの測定データを取得する工程。
 工程Y1:工程X1で得られた測定データが予め設定された許容範囲に含まれるか否かを判定する工程。
 また、本実施形態に係るレジスト組成物の検査方法は、レジスト組成物を用いて形成されるレジスト膜の第2現像液による溶解速度が、レジスト組成物を用いて形成されるレジスト膜の第1現像液による溶解速度よりも大きいことを特徴とする。
 本実施形態に係る検査方法の特徴としては、レジスト組成物の品質検査においては、そのレジスト組成物を用いてレジストパターンを形成する際に実際に使用する現像液(第1現像液)を用いて検査することが一般的であるのに対して、第1現像液よりもレジスト膜に対する溶解速度が大きい別の現像液(第2現像液)を用いて品質検査を行う点が挙げられる。
 このように、実際に使用する第1現像液よりもレジスト膜の溶解速度が大きい第2現像液を用いてレジスト組成物を検査することにより、製造から所定期間が経過した後のレジスト組成物の軽微な品質の違いを検知できる。より具体的には、レジスト組成物を用いて形成されたレジストパターンのLWR性能のバラつきを検知できる。
 上記の第2現像液を用いることにより、第1現像液を用いた検査では検知できなかった所定期間経過後のレジスト組成物の性能低下を検知できたことの詳細な理由は不明であるが、本発明者らは、実際に使用する現像液よりもレジスト膜の溶解速度が大きい現像液を用いたことにより、所定期間経過後のレジスト組成物の性能低下を引き起こすレジスト組成物特性の軽微な変化が増幅されて、明確な差となって検知されたものと推測している。
 したがって、上記検査方法によれば、所定期間保存した後のレジスト組成物が有するLWR性能を容易に判定できるという効果(以下、「本発明の効果」ともいう。)が奏される。
 以下、本実施形態に係る検査方法について、使用する各種材料について説明する。
<各種材料>
(レジスト組成物)
 本実施形態に係る検査方法の検査対象となるレジスト組成物としては、露光処理及び第1現像液を用いた現像処理を実施することによりレジストパターンを形成可能な公知のレジスト組成物が使用できる。
 ここで、レジスト組成物について「露光処理及び第1現像液を用いた現像処理を実施することによりレジストパターンを形成可能」とは、そのレジスト組成物を用いて形成されるレジスト膜をパターン露光する露光処理を行った後、露光されたレジスト膜に対して第1現像液を用いて現像する現像処理を行うことにより、レジストパターンを形成可能であることを意味する。
 上記のレジスト組成物を用いるレジストパターンの形成方法としては、後述する工程1~3を有するパターン形成方法が挙げられる。
 レジスト組成物については、後ほど詳細に説明する。
(第1現像液)
 第1現像液としては、本実施形態に係る検査方法において用いられる上記レジスト組成物に適合する現像液が選択される。第1現像液は、上記レジスト組成物を用いて形成されたレジスト膜を溶解可能であれば特に制限されず、公知の現像液から選択できる。
 なお、本明細書における「レジスト組成物を用いて形成されたレジスト膜」、又は、単なる「レジスト膜」との表記は、上記レジスト組成物を用いて形成された後、露光処理されていない未露光の膜、及び、上記レジスト組成物を用いて形成された後、露光処理された膜の両者を含む。即ち、第1現像液は、レジスト膜の未露光部を除去してレジストパターンを形成するネガ型の現像液であってもよく、レジスト膜の露光部を除去してレジストパターンを形成するポジ型の現像液であってもよい。
 第1現像液としては、例えば、アルカリ現像液、及び、有機溶剤現像液が挙げられ、有機溶剤現像液が好ましい。
 アルカリ現像液としては、アルカリを含むアルカリ水溶液が好ましい。アルカリ水溶液の種類は特に制限されないが、例えば、テトラメチルアンモニウムヒドロキシドに代表される4級アンモニウム塩、無機アルカリ、1級アミン、2級アミン、3級アミン、アルコールアミン、及び、環状アミンからなる群より選択される少なくとも1つを含むアルカリ水溶液が挙げられる。なかでも、アルカリ現像液は、テトラメチルアンモニウムヒドロキシド(TMAH)に代表される4級アンモニウム塩の水溶液であることが好ましい。アルカリ現像液には、アルコール類又は界面活性剤等を適当量添加してもよい。
 アルカリ現像液のアルカリ濃度は、0.1~20質量%であることが多い。また、アルカリ現像液のpHは、10.0~15.0であることが多い。
 有機溶剤現像液とは、有機溶剤を含む現像液である。
 有機溶剤現像液に含まれる有機溶剤の蒸気圧(混合溶剤である場合は全体としての蒸気圧)は、20℃において、5kPa以下が好ましく、3kPa以下がより好ましく、2kPa以下が更に好ましい。有機溶剤の蒸気圧を5kPa以下にすることにより、現像液の基板上又は現像カップ内での蒸発が抑制され、ウエハ面内の温度均一性が向上し、結果としてウエハ面内の寸法均一性が良化する。
 有機溶剤現像液に用いられる有機溶剤としては、公知の有機溶剤が挙げられ、エステル系溶剤、ケトン系溶剤、アルコール系溶剤、アミド系溶剤、エーテル系溶剤、及び、炭化水素系溶剤が挙げられる。
 エステル系溶剤としては、例えば、炭素数3~12のエステル系溶剤が挙げられ、炭素数4~10のエステル系溶剤が好ましい。
 エステル系溶剤は、アルキル基を有していてもよい。上記アルキル基は、直鎖状、分岐鎖状及び環状のいずれであってもよく、直鎖状が好ましい。
 エステル系溶剤が有するヘテロ原子としては酸素原子が好ましく、ヘテロ原子として酸素原子のみを有することがより好ましい。エステル系溶剤は、1つ又は2つ以上の-COO-を有していてもよく、-COO-を1つのみ有することが好ましい。
 より具体的なエステル系溶剤としては、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸ペンチル、酢酸イソペンチル、酢酸アミル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、エチル-3-エトキシプロピオネート、3-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、ブタン酸ブチル、2-ヒドロキシイソ酪酸メチル、酢酸イソアミル、イソ酪酸イソブチル、及び、プロピオン酸ブチルが挙げられる。なかでも、酢酸ブチル、酢酸イソブチル、又は、酢酸イソアミルが好ましく、酢酸ブチルがより好ましい。
 ケトン系溶剤としては、例えば、1-オクタノン、2-オクタノン、1-ノナノン、2-ノナノン、アセトン、2-ヘプタノン(メチルアミルケトン)、4-ヘプタノン、1-ヘキサノン、2-ヘキサノン、ジイソブチルケトン、シクロヘキサノン、メチルシクロヘキサノン、フェニルアセトン、メチルエチルケトン、メチルイソブチルケトン、アセチルアセトン、アセトニルアセトン、イオノン、ジアセトニルアルコール、アセチルカービノール、アセトフェノン、メチルナフチルケトン、イソホロン、及び、プロピレンカーボネートが挙げられる。
 炭化水素系溶剤としては、例えば、脂肪族炭化水素系溶剤及び芳香族炭化水素系溶剤が挙げられる。炭化水素系溶剤の炭素数は、3~20が好ましく、8~12がより好ましく、9~11が更に好ましい。
 脂肪族炭化水素系溶剤は、飽和脂肪族炭化水素系溶剤及び不飽和脂肪族炭化水素系溶剤であってもよく、飽和脂肪族炭化水素系溶剤が好ましい。脂肪族炭化水素系溶剤は、直鎖状、分岐鎖状及び環状のいずれであってもよく、直鎖状が好ましい。芳香族炭化水素系溶剤は、単環及び多環のいずれであってもよい。
 炭化水素系溶剤としては、飽和脂肪族炭化水素系溶剤が好ましく、オクタン、ノナン、デカン、ウンデカン又はドデカンがより好ましく、ノナン、デカン又はウンデカンが更に好ましい。
 アルコール系溶剤、アミド系溶剤、エーテル系溶剤、及び、炭化水素系溶剤としては、米国特許出願公開2016/0070167号の段落[0715]~[0718]に開示された溶剤が使用でき、この記載は本明細書に組み込まれる。
 第1現像液としては、有機溶剤現像液が好ましく、エステル系溶剤及び炭化水素系溶剤からなる群より選択される少なくとも1つを含むことがより好ましく、エステル系溶剤及び炭化水素系溶剤を含む混合溶剤が更に好ましい。
 なかでも、エステル系溶剤として酢酸ブチルを用いることが好ましい。即ち、第1現像液としては、酢酸ブチル及び炭化水素系溶剤を含むことが特に好ましい。
 エステル系溶剤と組み合わせる炭化水素系溶剤としては、レジスト膜の溶解性を調整する点で、上記の好ましい飽和脂肪族炭化水素系溶剤が挙げられ、ノナン、デカン又はウンデカンが好ましく、ウンデカンがより好ましい。
 第1現像液は、上記有機溶剤を1種単独で含んでいてもよく、2種以上の組合せを含んでいてもよい。第1現像液が2種以上の有機溶剤を含む場合のそれぞれの含有量は、レジスト膜の溶剤溶解性に依存するため、特に制限されず、適宜決定すればよい。
 第1現像液がエステル系溶剤及び炭化水素系溶剤を含む場合、エステル系溶剤の含有量は、第1現像液の全質量に対して、10~95質量%が好ましく、25~93質量%がより好ましく、40~91質量%が更に好ましい。また、炭化水素系溶剤の含有量は、第1現像液の全質量に対して、5~90質量%が好ましく、7~75質量%がより好ましく、9~60質量%が更に好ましい。
 第1現像液における有機溶剤(複数種を混合する場合は合計)の含有量としては、第1現像液の全質量に対して、80質量%以上が好ましく、90質量%以上がより好ましい。なかでも、第1現像液は有機溶剤のみを実質的に含むことが更に好ましく、有機溶剤の含有量は、第1現像液の全質量に対して98質量%以上が特に好ましい。上限は特に制限されず、100質量%であってよい。
 第1現像液は、水を含んでいてもよいが、実質的に水を含まないことが好ましく、水の含有量が第1現像液の全質量に対して2質量%以下であることがより好ましく、水を含まないことが更に好ましい。
 レジスト組成物を用いて形成されるレジスト膜の第1現像液による溶解速度(以下、「第1溶解速度」ともいう。)は、現像不足による残渣発生を抑制する観点で、0.1nm/秒以上が好ましく、0.5nm/秒以上がより好ましく、1.0nm/秒以上が更に好ましい。上限は特に制限されないが、パターンの膜減りを抑制する観点で、1,000nm/秒以下が好ましく、500nm/秒以下がより好ましい。
 第1現像液(及び後述する第2現像液)によるレジスト膜の溶解速度は、レジスト膜厚の変化量を現像に要した時間で除することで求めることができる。
 所定の現像時間で処理した後にレジスト膜が残存している場合の溶解速度は、現像前後の膜厚を測定することで膜厚変化量を求め、所定の現像時間で除することで求めることができる。現像前後の膜厚測定は、例えば、光干渉法又はエリプソメトリ法を用いて求めることができる。
 一方、所定の現像時間で処理した後にレジスト膜が残存していない場合の溶解速度は、初期の膜厚を、現像に要した時間で除することで求めることができる。現像に要した時間は、例えば、分光干渉法、又は、水晶振動子マイクロバランス法(QCM(Quartz crystal microbalance)法)から得られるパラメータの変化をリアルタイムに測定し、その変化の挙動から求めることができる。現像に要した時間をQCM法を用いて求めることで第1溶解速度を算出する方法の具体例を、後述する実施例において記載する。
 また、第1溶解速度を測定するためのレジスト膜の形成方法、及び、必要に応じて行うレジスト膜の露光方法は、それぞれ、後述する工程1及び工程2に準じて行えばよい。
 第1現像液としては、例えば、アルカリ現像液、及び、有機溶剤現像液が挙げられる。
(基板)
 基板としては、集積回路素子の製造に使用されるような基板であれば特に制限されず、シリコンウエハ、及び、二酸化シリコンで被覆されたシリコンウエハが挙げられ、シリコンウエハが好ましい。
<工程A1>
 本実施形態に係る検査方法では、まず、上記レジスト組成物を用いてレジスト膜を基板上に形成する工程A1を実施する。
 レジスト組成物を用いて基板上にレジスト膜を形成する方法としては、例えば、レジスト組成物を基板上に塗布することにより塗膜を形成する方法が挙げられる。また、塗膜を形成する方法の他の例としては、コーターカップを用いた塗布方法、有機現像ユニットを用いた塗布方法、及び、スピナーを用いたスピン塗布方法が挙げられる。スピナーを用いたスピン塗布をする際の回転数は、500~3000rpmが好ましい。
 基板上にレジスト組成物を塗布して塗膜を形成した後、塗膜を乾燥することが好ましい。
 乾燥方法としては、例えば、加熱して乾燥する方法が挙げられる。加熱は通常の露光機、及び/又は、現像機に備わっている手段で実施でき、ホットプレート等の加熱手段を用いて実施してもよい。加熱温度は80~150℃が好ましく、80~140℃がより好ましく、80~130℃が更に好ましい。加熱時間は30~1000秒が好ましく、60~800秒がより好ましく、60~600秒が更に好ましい。一態様としては、100℃にて60秒間の加熱を実施することが好ましい。
 レジスト膜の厚みは特に制限されないが、10~1000nmが好ましく、10~120nmがより好ましい。レジスト膜がEUV露光又はEB露光でのパターン形成に供される場合、レジスト膜の厚みは、10~100nmが更に好ましく、15~70nmが特に好ましい。また、レジスト膜がArF液浸露光又はKrF液浸露光でのパターン形成に供される場合、レジスト膜の厚みは、15~90nmが更に好ましい。
 レジスト膜の厚みは、例えば、レジスト組成物の組成及び粘度、並びに、塗布するレジスト組成物の温度及びスピンコータの回転速度等の条件を制御することにより、調整できる。
<工程B1>
 本実施形態に係る検査方法では、工程A1の後、工程A1で形成されたレジスト膜を露光する工程B1を実施する。
 工程B1の露光に用いる放射線としては、赤外光、可視光、紫外光、遠紫外光、極紫外光、X線、及び、EBが挙げられ、遠紫外光、極紫外光、又は、EBが好ましい。遠紫外光又は極紫外光としては、波長250nm以下が好ましく、波長220nm以下がより好ましく、波長1~200nmが更に好ましい。
 工程B1においては、EUV露光(波長13.5nm)、KrF露光(波長248nm)、ArF露光(波長193nm)、及び、EB露光からなる群より選択される少なくとも1つを用いてレジスト膜を露光することが好ましく、EUV露光がより好ましい。
 後述する工程X1において、工程C1により形成されるレジストパターンの線幅、又は、工程C1により形成されるレジストパターンの欠陥数を測定データとして取得する場合、工程B1において、所定のマスクを通して上記の放射線をレジスト膜に放射するパターン露光を行うことができる。また、マスクの使用に代えて、EB及びイオンビーム等の粒子線を用いて描画によりパターン露光を行ってもよい。
 パターン露光に使用するマスクは、工程C1において形成するレジストパターンに応じて適宜選択される。例えば、EUV露光の場合、ライン幅が8~100nmであり、ピッチが16~200nmであるラインアンドスペースパターンを有するマスクが好ましい。ArF露光の場合、ライン幅が30~150nmであり、ピッチが76~300nmであるラインアンドスペースパターンを有するマスクが好ましい。また、KrF露光の場合、ライン幅が50~150nmであり、ピッチが180~300nmであるラインアンドスペースパターンを有するマスクが好ましい。
 露光されたレジスト膜に対してベーク(PEB)を行うことが好ましい。
 加熱温度は80~150℃が好ましく、80~140℃がより好ましい。
 加熱時間は10~1000秒間が好ましく、10~180秒間がより好ましい。
 加熱は通常の露光機、及び/又は現像機に備わっている手段で行うことができ、ホットプレート等を用いて行ってもよい。
<工程C1>
 工程C1は、工程A1で形成され、工程B1で露光されたレジスト膜に対して、第1現像液とは異なる第2現像液を接触させる工程である。
 以下、工程C1で使用する第2現像液及び工程C1の手順について説明する。
(第2現像液)
 工程C1では、上記レジスト組成物を用いて形成されたレジスト膜を溶解可能な現像液であって、かつ、上記レジスト膜の溶解速度が第1現像液よりも大きい現像液を、第2現像液として使用する。
 第2現像液としては、本実施形態に係る検査方法において用いられる上記レジスト組成物を用いて形成されたレジスト膜を溶解可能であり、かつ、レジスト膜の溶解速度が第1現像液よりも大きければ特に制限されず、公知の現像液から選択できる。
 具体的な第2現像液としては、上記の第1現像液として挙げた現像液が、その好適な態様も含めて使用できる。
 なかでも、第2現像液としては、エステル系溶剤を含むことが好ましい。第2現像液が含むエステル系溶剤としては、酢酸ブチル、酢酸イソブチル、又は、酢酸イソアミルが好ましく、酢酸ブチルがより好ましい。
 第2現像液における上記エステル系溶剤の含有量は、第2現像液の全質量に対して、80質量%以上が好ましく、90質量%以上がより好ましい。なかでも、第2現像液は、エステル系溶剤のみを実質的に含むことが更に好ましく、酢酸ブチル、酢酸イソブチル、及び、酢酸イソアミルからなる群より選択される少なくとも1つの溶剤のみを実質的に含むことが特に好ましく、酢酸ブチルのみを実質的に含むことが最も好ましい。
 第2現像液に含まれるエステル系溶剤の含有量の上限は特に制限されず、100質量%であってよい。
 レジスト組成物を用いて形成されるレジスト膜の第2現像液による溶解速度(以下、「第2溶解速度」ともいう。)は、0.1nm/秒以上が好ましく、0.5nm/秒以上がより好ましく、1.0nm/秒以上が更に好ましい。上限は特に制限されないが、1,000nm/秒以下が好ましく、500nm/秒以下がより好ましい。
 また、第1溶解速度に対する第2溶解速度の比率は、1.0超であれば特に制限されないが、1.0超100以下が好ましく、1.0超50以下がより好ましく、2.0~20.0が更に好ましい。
 第2溶解速度は、上記の第1溶解速度の測定方法に従って測定できる。
(工程C1の手順)
 工程B1で露光されたレジスト膜に第2現像液を接触させる方法は、特に制限されない。
 接触方法としては、例えば、第2現像液が満たされた槽中にレジスト膜付き基板を一定時間浸漬する方法、レジスト膜の表面に第2現像液を表面張力によって盛り上げて一定時間静置して除去する方法、レジスト膜の表面に第2現像液を噴霧する方法、及び、一定速度で回転しているレジスト膜付き基板上に一定速度で吐出ノズルをスキャンしながら第2現像液を吐出し続ける方法が挙げられる。上記手法による除去は、現像ユニットにて実施できる。
 また、接触方法の他の例としては、コーターカップを用いる方法、及び、現像ユニットを用いる方法、及び、スピナーを用いたスピン塗布による方法も挙げられる。スピナーを用いたスピン塗布を実施する際の回転数は、500~3000rpmが好ましい。また、第2現像液の供給量としては、0.2~10.0mL/sが好ましく、供給時間は、3~300秒が好ましい。
 第2現像液の温度は特に制限されず、0~50℃が好ましく、15~35℃がより好ましい。
 レジスト膜と第2現像液との接触時間は、検査精度がより優れる点で、例えば、800秒間以下であり、300秒間以下が好ましく、60秒間以下がより好ましい。下限値としては、例えば5秒間以上である。
 上記接触処理を実施した後、レジスト膜付き基板を乾燥することが好ましい。
 乾燥方法としては、例えば、加熱して乾燥する方法が挙げられる。加熱は通常の露光機、及び/又は、現像機に備わっている手段で実施でき、ホットプレート等を用いて実施してもよい。加熱温度は80~200℃が好ましく、80~140℃がより好ましく、80~130℃が更に好ましい。加熱時間は30~1000秒間が好ましく、60~800秒間がより好ましく、60~600秒間が更に好ましい。一態様としては、100℃にて60秒間加熱を実施することが好ましい。
<工程X1>
 工程X1では、工程C1により形成されるレジストパターンの線幅、工程C1において測定される露光されたレジスト膜の第2現像液による溶解速度、及び、工程C1により形成されるレジストパターンの欠陥数からなる群から選択される少なくとも1つの測定データを取得する。
 以下、工程X1のうち、工程C1により形成されるレジストパターンの線幅を測定データとして取得する工程を「工程X1a」とし、工程C1により形成されるレジストパターンの欠陥数を測定データとして取得する工程を「工程X1b」とし、工程C1において測定される露光されたレジスト膜の第2現像液による溶解速度を測定データとして取得する工程を「工程X1c」とする。
(工程X1a)
 工程X1aは、工程A1、B1及びC1により形成されたレジストパターンの線幅を測定し、測定データとして取得する工程である。
 工程X1aにおけるレジストパターンの線幅は、測長走査型電子顕微鏡(SEM:Scanning Electron Microscope、例えば株式会社日立ハイテク製「CG-4100」等)を用いてレジストパターンの表面を観察することにより、任意に選択した160箇所において線幅を測定し、測定値を算術平均することにより求めることができる。
 工程X1aを行う場合、工程B1においてマスクを用いるパターン露光を行うことが好ましい。パターン露光に用いるマスクとしては、特に制限されないが、ラインアンドスペースパターンを有することが好ましく、工程B1において照射する露光光に対応するライン幅及びピッチを有する公知のマスクから適宜選択される。
(工程X1b)
 工程X1bは、工程A1、B1及びC1により形成されたレジストパターンの欠陥数を測定し、測定データとして取得する工程である。
 具体的には、欠陥検査装置(例えば、ケーエルエーテンコール社製の欠陥検査装置KLA2925等)を用いて、形成されたレジストパターンにおいてパターン同士がつながる架橋状の欠陥(ブリッジ欠陥)等の欠陥の個数を計測できる。
 工程X1bを行う場合、工程X1aと同様、工程B1においてマスクを用いるパターン露光を行うことが好ましい。パターン露光に用いるマスクについては上述のとおりである。
(工程X1c)
 工程X1cは、工程C1において測定される露光されたレジスト膜の第2現像液による溶解速度を測定し、測定データとして取得する工程である。
 より具体的には、工程B1で露光されたレジスト膜(レジスト膜の露光部)について、工程C1を行う前の膜厚(FT1)と、工程C1を行った後の膜厚(FT2)を測定し、下記式を用いてレジスト膜最小溶解速度(Rmin)を算出することにより、測定データが取得できる。
  式:Rmin=(FT1-FT2)/工程C1の接触時間 (nm/秒)
 工程C1の前後におけるレジスト膜の厚みは、光干渉式膜厚測定装置(例えば、SCREENセミコンダクターソリューションズ社製「VM-3200(製品名)」等)で測定できる。
<工程Y1>
 工程Y1では、工程X1で得られた測定データが予め設定された許容範囲に含まれるか否かを判定する。
 許容範囲は、工程X1において取得する測定データの種類及びレジスト組成物の所期の性能等に基づいて、工程Y1を実施するまでに予め設定される。この許容範囲に基づいて、工程X1で得られた測定データが許容範囲に含まれる場合は「合格」と判定され、許容範囲に含まれない場合は「不合格」と判定される。
 工程Y1において用いる許容範囲の設定方法は、本発明の趣旨を逸脱しない限り特に制限されない。許容範囲は、例えば、所定の基準データに基づいて設定される相対的な数値範囲であってもよく、絶対的な数値範囲であってもよい。
 上記の基準データとしては、例えば、過去に製造したレジスト組成物の測定データに由来する基準データが挙げられる。より具体的には、レジスト組成物を複数ロット製造する際の過去の製造ロットの測定データを基準データとして用いることができる。
 ここで、「ロットが同じである」とは、製造ロットが同じであることを意図している。
 以下、工程Y1において基準データとして用いる測定データが測定された過去の製造ロットを「基準ロット」ともいう。
 基準ロットとしては、例えば、過去に製造した複数の製造ロットのうち、初回に製造されたロット(初回ロット)、工程Y1を実施する直前に製造されたロット(直前ロット)、及び、最も測定データの良いロット(最良ロット)が挙げられ、初回ロット又は直前ロットを用いることが好ましい。
 また、基準データとしては、過去に製造した複数の製造ロットから選択された複数の基準ロットの測定データの平均値を用いることもできる。複数の基準ロットは、過去に製造した複数のロットから任意に選択してもよく、直前ロットから遡って連続する複数のロット(例えば直前ロットを含む1~5回前までのロット)を選択してもよく、過去に製造した全てのロットであってもよい。基準データとして複数のロットの測定データの平均値を用いる場合は、基準ロットとして、直前ロットから遡って連続する複数のロット、又は、過去に製造した全てのロットを採用することが好ましい。
 上記の基準ロットから基準データを取得する方法としては、例えば、以下の工程A0、B0、C0及びX0を有する方法が挙げられる。
 工程A0:基準ロットの一部を用いてレジスト膜を基板上に形成する工程。
 工程B0:工程A0で形成されるレジスト膜を露光する工程。
 工程C0:工程B―で露光されたレジスト膜に第2現像液を接触させる工程。
 工程X0:工程X1において取得する測定データに応じて、工程C0により形成されるレジストパターンの線幅、工程C0により形成されるレジストパターンの欠陥数、及び、工程C0において測定される露光されたレジスト膜の第2現像液による溶解速度からなる群から選択される少なくとも1つの基準データを取得する工程。
 上記の工程A0、B0、C0及びX0は、それぞれ、上記の工程A1、B1、C1及びX1に準じて行えばよく、検査精度がより優れる点で、上記の工程A1、B1、C1及びX1と同じ方法であることが好ましい。よって、工程A0、B0、C0及びX0の詳細な説明は省略する。
 基準データに基づく許容範囲の設定方法は、特に制限されず、測定データの種類及びレジスト組成物の所期の性能等により適宜設定される。許容範囲としては、例えば、基準データに対して所定の差分以内となる範囲、及び、基準データに対して所定の比率以内となる範囲が挙げられる。許容範囲として設定する基準データに対する比率は、レジスト組成物の組成及び用途等に応じて適宜設定できる。許容範囲としては、例えば、基準データの1~50%以内(好ましくは1~10%以内)となる範囲が挙げられるが、この範囲に制限されない。
 また、基準データとして複数の基準ロットから得られる測定データの平均値を用いる場合、許容範囲を複数の測定データから得られる標準偏差に基づいて許容範囲を設定してもよい。この場合の許容範囲としては、例えば、基準データである平均値との差分が標準偏差以内又は標準偏差の所定倍以内となる範囲が挙げられるが、この範囲に制限されない。
 許容範囲は、測定データの種類及びレジスト組成物の所期の性能等に基づいて、過去の測定データを参照せずに、測定データの種類及びレジスト組成物の所期の性能等に基づいて設定された範囲であってもよい。
 例えば、工程X1aで測定データとして取得されたレジストパターンの線幅と対比する許容範囲について、予め基準データとなる線幅を設定し、更に設定された線幅に対して所定の比率以内となる範囲を、予め許容範囲として設定できる。
 その場合の基準データとなる線幅は、工程B1においてEUV露光を行うときは、5~200nmが好ましく、10~100nmがより好ましく、12~50nmが更に好ましく、KrF露光を行うときは、20~400nmが好ましく、40~200nmがより好ましく、60~150nmが更に好ましく、ArF露光を行うときは、15~300nmが好ましく、30~150nmがより好ましく、40~120nmが更に好ましく、EB露光を行うときは、5~200nmが好ましく、10~150nmがより好ましく、12~100nmが更に好ましい。基準データとなる線幅に対する比率は、1~50%以内が好ましく、1~10%以内がより好ましい(例えば5%以内等)。
 また、工程X1bで測定データとして取得されたレジストパターンの欠陥数と対比する許容範囲として、所定の欠陥数の範囲を予め設定できる。
 その場合の許容範囲としては、例えば、ウエハ上に形成されたレジストパターンの面積に対して、0.0001~10個/cm以下が好ましく、0.0005~5個/cm以下がより好ましく、0.001~1個/cm以下が更に好ましい。
 上記の測定データが許容範囲に含まれるか否かを判定する工程Y1は、例えば、コンピューター等のハードウェアを用いて構成される処理装置により行われる。工程Y1の判定を行う処理装置の構成の一例を以下に説明するが、工程Y1は、下記処理装置により実施されるものに制限されない。
 処理装置は、入力部と、処理部と、記憶部と、出力部とを有する。メモリは、外部からデータを記憶させることができるメモリと、ROM(Read Only Memory)とを有する。
 処理装置は、ROMに記憶されたプログラムを実行することにより各部位が機能するコンピューターによって構成されてもよいし、各部位が専用回路で構成された専用装置であってもよい。なお、プログラムは、例えば、コンピュータソフトウェアの形態で供給される。
 入力部は、工程X1で得られた測定データを入力する機能を有する部位であり、例えば、マウス及びキーボード等の各種入力デバイスであってもよく、工程X1を実施する測定装置であってもよい。
 処理部は、工程Y1の判定を行う部位である。より具体的には、入力部から工程X1で得られた測定データを受信するとともに、記憶部に記憶された許容範囲を読み出し、測定データと許容範囲とを比較して、測定データが許容範囲に含まれるか否かを判定する。処理部は、予め設定されたプログラムに従い、その判定結果に応じて出力部に対して所定の制御を実施する。また、処理部は、入力部から入力された測定データを記憶部に記憶させる。場合により、処理部は、入力部から入力された測定データ及び記憶部に記憶された過去の測定データからなる群より選択されるデータに基づいて、新たな基準データ及び許容範囲を算出し、記憶部に記憶させる。
 出力部は、工程Y1の判定結果を出力する機能を有する部位であり、例えば、判定結果を表示するディスプレイ等の表示装置、判定結果を出力媒体に表示するプリンタ等のデバイス、警報を出力する音声出力装置、及び、判定結果をユーザに知らせる通信手段等が挙げられる。
 工程Y1において、処理部は、工程X1で得られた測定データが許容範囲に含まれない場合(判定結果が不合格である場合)、不合格との判定結果の表示(表示装置への表示及び出力媒体への表示等)並びにユーザに対する警告の実行(警報及び通知等)から選択される処理を行うよう、出力部を制御してもよい。これにより、工程X1において取得した測定データが許容範囲に含まれないことをユーザに知らせ、レジスト組成物の製造の停止及び測定データを取得したレジスト組成物を同じロットのレジスト組成物の廃棄又は精製等の処理をユーザに促すことができる。
 また、処理部は、工程X1で得られた測定データが許容範囲に含まれる場合(判定結果が合格である場合)、合格との判定結果の表示(表示装置への表示及び出力媒体への表示等)並びにユーザに対する通知から選択される処理を行うよう、出力部を制御してもよい。
 処理装置は、レジスト組成物を製造する製造部(製造装置)を有していてもよく、処理部は製造部と電気回路を通じて接続されていてもよい。処理部は、例えば、工程Y1において工程X1で得られた測定データが許容範囲に含まれない場合(判定結果が不合格である場合)、レジスト組成物の製造を停止するよう、製造部を制御してもよく、また、工程X1で得られた測定データが許容範囲に含まれる場合(判定結果が合格である場合)、レジスト組成物の製造を継続するように製造部を制御してもよい。
 製造部としては、レジスト組成物を製造できるものであれば、その構成は特に制限されるものではなく、公知の製造装置を適宜利用可能である。
〔第2実施形態〕
 本発明の第2実施形態に係るレジスト組成物の検査方法(以下、「本実施形態に係る検査方法」ともいう。)は、露光処理及び第1現像液を用いた現像処理を実施してレジストパターンを形成可能なレジスト組成物を検査する方法であって、以下の工程を有する。
 工程A2:レジスト組成物を用いてレジスト膜を基板上に形成する工程。
 工程C2:工程A2で形成されるレジスト膜に第1現像液とは異なる第2現像液を接触させる工程。
 工程X2:工程C2によりレジスト膜が除去された基板の表面の欠陥数、及び、工程C2において測定されるレジスト膜の第2現像液による溶解速度からなる群から選択される少なくとも1つの測定データを取得する工程。
 工程Y2:工程X2で得られた測定データが予め設定された許容範囲に含まれるか否かを判定する工程。
 また、本実施形態に係るレジスト組成物の検査方法は、レジスト組成物を用いて形成されるレジスト膜の第2現像液による溶解速度が、レジスト組成物を用いて形成されるレジスト膜の第1現像液による溶解速度よりも大きいことを特徴とする。
 本実施形態に係る検査方法の特徴、及び、第2現像液を用いることにより顕著に優れた本発明の効果が得られる点については、第1実施形態と同様であり、説明を省略する。
 また、本実施形態に係る検査方法において使用する、レジスト組成物、第1現像液、第2現像液、及び、基板等の各種材料については、第1実施形態と同じであるため、説明を省略する。
 以下、本実施形態に係る検査方法の各工程について説明する。
<工程A2>
 本実施形態に係る検査方法では、上記レジスト組成物を用いてレジスト膜を基板上に形成する工程A2を実施する。工程A2は、第1実施形態の工程A1と同じである。
<工程C2>
 工程C2は、工程A2で形成されるレジスト膜に対して、第1現像液とは異なる第2現像液を接触させる工程である。
 工程C2の具体的な手順は、第2現像液を接触させる対象が工程A2により基板上にレジスト組成物を用いて形成されたレジスト膜であること以外、第1実施形態の工程C1と同じである。よって、工程C2は、上記(工程C1の手順)に記載の方法に従って実施できる。
<工程X2>
 工程X2では、工程C2によりレジスト膜が除去された基板の表面の欠陥数、及び、工程C2において測定されるレジスト膜の第2現像液による溶解速度からなる群から選択される少なくとも1つの測定データを取得する。
 以下、工程X2のうち、工程C2によりレジスト膜が除去された基板の表面の欠陥数を測定データとして取得する工程を「工程X2a」とし、工程C2において測定されるレジスト膜の第2現像液による溶解速度を測定データとして取得する工程を「工程X2b」とする。
(工程X2a)
 工程X2aは、工程A2により形成されたレジスト膜を工程C2により溶解させた後、レジスト膜が除去された基板表面に存在する欠陥数を測定し、測定データとして取得する工程である。
 工程X2aにより測定される欠陥の種類は特に制限されないが、19nm以上の大きさの欠陥であることが好ましい。
 工程X2aにおける欠陥検査は、欠陥検査装置(例えば、ケーエルエーテンコール社製の欠陥検査装置KLA2925等)を用いて測定できる。
 工程X2aにより測定データとして得られる欠陥数は、工程A2に供する以前に基板上に存在する欠陥の数(基板に由来する欠陥数)を含まないことが好ましい。即ち、工程X2aは、上記欠陥検査装置により測定された欠陥数の測定値から、基板に由来する欠陥数を差し引くことにより、レジスト組成物に由来する欠陥の数を算出する工程を有することが好ましい。これにより、レジスト組成物に由来する欠陥の数をより高い精度で検査できる。
 基板に由来する欠陥数がカタログ等の記載により既に公知である場合、かかる公称値を使用できる。
(工程X2b)
 工程X2bは、工程C2において測定されるレジスト膜の第2現像液による溶解速度を測定し、測定データとして取得する工程である。
 工程X2bにおけるレジスト膜の第2現像液による溶解速度は、上記の第1溶解速度の測定方法に従って測定できる。
<工程Y2>
 工程Y2では、工程X2で得られた測定データが予め設定された許容範囲に含まれるか否かを判定する。
 許容範囲は、工程X2において取得する測定データの種類及びレジスト組成物の所期の性能等に基づいて、工程Y2を実施するまでに予め設定される。この許容範囲に基づいて、工程X2で得られた測定データが許容範囲に含まれる場合は「合格」と判定され、許容範囲に含まれない場合は「不合格」と判定される。
 工程Y2は、第1実施形態の工程Y1と同様の手順で実施できる。
 工程Y2において測定データと対比される許容範囲は、測定データの種類及びレジスト組成物の所期の性能等に基づいて、過去の測定データを参照せずに、測定データの種類及びレジスト組成物の所期の性能等に基づいて設定された範囲であってもよい。
 例えば、工程X2aで測定データとして取得された基板表面に存在する欠陥数と対比する許容範囲としては、所定の欠陥数の範囲を予め設定できる。その場合の許容範囲としては、例えば、レジスト膜が除去された基板表面の面積に対して、0.0001~10個/cm以下が挙げられ、0.0005~5個/cm以下が好ましく、0.001~1個/cm以下がより好ましい。
 上記で説明した第1実施形態に係るレジスト組成物の検査方法、及び、第2実施形態に係るレジスト組成物の検査方法(以下、総称して「本発明に係る検査方法」又は「本検査方法」ともいう。)は、製造された組成物の品質管理に用いることができる。例えば、本検査方法で検査した結果、測定データが許容範囲に含まれるレジスト組成物を合格品として出荷できる。また、測定データが許容範囲に含まれないレジスト組成物については、廃棄、精製及び成分添加等の処理の必要性を検知できる。
〔レジスト組成物〕
 以下、本発明に係る検査方法において使用するレジスト組成物(以下、単に「レジスト組成物」ともいう。)について詳細に説明する。
 レジスト組成物は、露光処理と、上記の第1現像液を用いた現像処理によりレジストパターンを形成可能なレジスト組成物であれば特に制限されない。レジスト組成物は、ポジ型のレジスト組成物であっても、ネガ型のレジスト組成物であってもよい。レジスト組成物は、アルカリ現像用のレジスト組成物であっても、有機溶剤現像用のレジスト組成物であってもよい。
 レジスト組成物は、化学増幅型のレジスト組成物であっても、非化学増幅型のレジスト組成物であってもよい。レジスト組成物は、典型的には、化学増幅型のレジスト組成物である。
 上記露光処理としては、EUV露光、KrF露光、ArF露光、又は、EB露光を含むことが好ましく、EUV露光がより好ましい。
 以下、レジスト組成物に含まれる各種成分について詳述する。
<酸分解性樹脂>
 レジスト組成物は、例えば、酸分解性樹脂(以下、「樹脂(A)」ともいう。)を含む。
 樹脂(A)は、通常、酸の作用により分解し極性が増大する基(以下「酸分解性基」ともいう。)を含み、酸分解性基を有する繰り返し単位を含むことが好ましい。
 樹脂(A)が酸分解性基を含む場合、本明細書におけるパターン形成方法において、典型的には、現像液としてアルカリ現像液を採用した場合には、ポジ型パターンが好適に形成され、現像液として有機系現像液を採用した場合には、ネガ型パターンが好適に形成される。
 酸分解性基を有する繰り返し単位としては、後述する酸分解性基を有する繰り返し単位以外に、不飽和結合を含む酸分解性基を有する繰り返し単位が好ましい。
≪酸分解性基を有する繰り返し単位(A-a)≫
 樹脂(A)は、酸分解性基を有する繰り返し単位(A-a)(以下、「繰り返し単位(A-a)」ともいう。)を有することが好ましい。
 酸分解性基は、酸の作用により分解して極性基を生じる基であり、酸の作用により脱離する脱離基で極性基が保護された構造を有することが好ましい。つまり、樹脂(A)は、酸の作用により分解し、極性基を生じる基を有する繰り返し単位(A-a)を有することが好ましい。繰り返し単位(A-a)を有する樹脂は、酸の作用により極性が増大してアルカリ現像液に対する溶解度が増大し、有機溶剤に対する溶解度が減少する。
 極性基としては、アルカリ可溶性基が好ましく、例えば、カルボキシル基、フェノール性水酸基、フッ素化アルコール基、スルホン酸基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及び、トリス(アルキルスルホニル)メチレン基等の酸性基、並びに、アルコール性水酸基等が挙げられる。
 なかでも、極性基としては、カルボキシル基、フェノール性水酸基、フッ素化アルコール基(好ましくはヘキサフルオロイソプロパノール基)、又は、スルホン酸基が好ましい。
 酸の作用により脱離する脱離基としては、例えば、式(Y1)~(Y4)で表される基が挙げられる。
 式(Y1):-C(Rx)(Rx)(Rx
 式(Y2):-C(=O)OC(Rx)(Rx)(Rx
 式(Y3):-C(R36)(R37)(OR38
 式(Y4):-C(Rn)(H)(Ar)
 式(Y1)及び式(Y2)中、Rx~Rxは、各々独立に、アルキル基(直鎖状若しくは分岐鎖状)又はシクロアルキル基(単環若しくは多環)、アルケニル基(直鎖状若しくは分岐鎖状)、又はアリール基(単環若しくは多環)を表す。なお、Rx~Rxの全てがアルキル基(直鎖状若しくは分岐鎖状)である場合、Rx~Rxのうち少なくとも2つはメチル基であることが好ましい。
 なかでも、Rx~Rxは、各々独立に、直鎖状又は分岐鎖状のアルキル基を表すことが好ましく、Rx~Rxは、各々独立に、直鎖状のアルキル基を表すことがより好ましい。
 Rx~Rxの2つが結合して、単環又は多環を形成してもよい。
 Rx~Rxのアルキル基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、及びt-ブチル基等の炭素数1~5のアルキル基が好ましい。
 Rx~Rxのシクロアルキル基としては、シクロペンチル基、及びシクロヘキシル基等の単環のシクロアルキル基、並びにノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及びアダマンチル基等の多環のシクロアルキル基が好ましい。
 Rx~Rxのアリール基としては、炭素数6~10のアリール基が好ましく、例えば、フェニル基、ナフチル基、及びアントリル基等が挙げられる。
 Rx~Rxのアルケニル基としては、ビニル基が好ましい。
 Rx~Rxの2つが結合して形成される環としては、シクロアルキル基が好ましい。Rx~Rxの2つが結合して形成されるシクロアルキル基としては、シクロペンチル基、若しくは、シクロヘキシル基等の単環のシクロアルキル基、又はノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、若しくは、アダマンチル基等の多環のシクロアルキル基が好ましく、炭素数5~6の単環のシクロアルキル基がより好ましい。
 Rx~Rxの2つが結合して形成されるシクロアルキル基は、例えば、環を構成するメチレン基の1つが、酸素原子等のヘテロ原子、カルボニル基等のヘテロ原子を有する基、又はビニリデン基で置き換わっていてもよい。また、これらのシクロアルキル基は、シクロアルカン環を構成するエチレン基の1つ以上が、ビニレン基で置き換わっていてもよい。
 式(Y1)又は式(Y2)で表される基は、例えば、Rxがメチル基又はエチル基であり、RxとRxとが結合して上述のシクロアルキル基を形成している態様が好ましい。
 レジスト組成物が、例えば、EUV露光用レジスト組成物である場合、Rx~Rxで表されるアルキル基、シクロアルキル基、アルケニル基、アリール基、及び、Rx~Rxの2つが結合して形成される環は、更に、置換基として、フッ素原子又はヨウ素原子を有しているのも好ましい。
 式(Y3)中、R36~R38は、各々独立に、水素原子又は1価の有機基を表す。R37とR38とは、互いに結合して環を形成してもよい。1価の有機基としては、アルキル基、シクロアルキル基、アリール基、アラルキル基、及びアルケニル基等が挙げられる。R36は水素原子であることも好ましい。
 なお、上記アルキル基、シクロアルキル基、アリール基、及びアラルキル基には、酸素原子等のヘテロ原子及び/又はカルボニル基等のヘテロ原子を有する基が含まれていてもよい。例えば、上記アルキル基、シクロアルキル基、アリール基、及びアラルキル基は、例えば、メチレン基の1つ以上が、酸素原子等のヘテロ原子及び/又はカルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
 また、後述する酸分解性基を有する繰り返し単位においては、R38は、繰り返し単位の主鎖が有する別の置換基と互いに結合して、環を形成してもよい。R38と繰り返し単位の主鎖が有する別の置換基とが互いに結合して形成する基は、メチレン基等のアルキレン基が好ましい。
 レジスト組成物が、例えば、EUV露光用レジスト組成物である場合、R36~R38で表される1価の有機基、及び、R37とR38とが互いに結合して形成される環は、更に、置換基として、フッ素原子又はヨウ素原子を有しているのも好ましい。
 式(Y3)としては、下記式(Y3-1)で表される基が好ましい。
Figure JPOXMLDOC01-appb-C000001
 ここで、L及びLは、各々独立に、水素原子、アルキル基、シクロアルキル基、アリール基、又はこれらを組み合わせた基(例えば、アルキル基とアリール基とを組み合わせた基)を表す。
 Mは、単結合又は2価の連結基を表す。
 Qは、ヘテロ原子を含んでいてもよいアルキル基、ヘテロ原子を含んでいてもよいシクロアルキル基、ヘテロ原子を含んでいてもよいアリール基、アミノ基、アンモニウム基、メルカプト基、シアノ基、アルデヒド基、又はこれらを組み合わせた基(例えば、アルキル基とシクロアルキル基とを組み合わせた基)を表す。
 アルキル基及びシクロアルキル基は、例えば、メチレン基の1つが、酸素原子等のヘテロ原子、又はカルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
 なお、L及びLのうち一方は水素原子であり、他方はアルキル基、シクロアルキル基、アリール基、又はアルキレン基とアリール基とを組み合わせた基であることが好ましい。
 Q、M、及びLの少なくとも2つが結合して環(好ましくは、5員若しくは6員環)を形成してもよい。
 パターンの微細化の点では、Lが2級又は3級アルキル基であることが好ましく、3級アルキル基であることがより好ましい。2級アルキル基としては、イソプロピル基、シクロヘキシル基又はノルボルニル基が挙げられ、3級アルキル基としては、tert-ブチル基又はアダマンタン基が挙げられる。これらの態様とした場合、後述する酸分解性基を有する繰り返し単位において、樹脂(A)のTg(ガラス転移温度)及び活性化エネルギーが高くなるため、膜強度の担保に加え、かぶりの抑制ができる。
 レジスト組成物が、例えば、EUV露光用レジスト組成物である場合、L及びLで表される、アルキル基、シクロアルキル基、アリール基、及びこれらを組み合わせた基は、更に、置換基として、フッ素原子又はヨウ素原子を有しているのも好ましい。また、上記アルキル基、シクロアルキル基、アリール基、及びアラルキル基には、フッ素原子及びヨウ素原子以外に、酸素原子等のヘテロ原子が含まれている(つまり、上記アルキル基、シクロアルキル基、アリール基、及びアラルキル基は、例えば、メチレン基の1つが、酸素原子等のヘテロ原子、又はカルボニル基等のヘテロ原子を有する基で置き換わっている)のも好ましい。
 また、レジスト組成物が、例えば、EUV露光用レジスト組成物である場合、Qで表されるヘテロ原子を含んでいてもよいアルキル基、ヘテロ原子を含んでいてもよいシクロアルキル基、ヘテロ原子を含んでいてもよいアリール基、アミノ基、アンモニウム基、メルカプト基、シアノ基、アルデヒド基、及びこれらを組み合わせた基において、ヘテロ原子としては、フッ素原子、ヨウ素原子及び酸素原子からなる群から選択されるヘテロ原子であるのも好ましい。
 式(Y4)中、Arは、芳香環基を表す。Rnは、アルキル基、シクロアルキル基又はアリール基を表す。RnとArとは互いに結合して非芳香族環を形成してもよい。Arはより好ましくはアリール基である。
 レジスト組成物が、例えば、EUV露光用レジスト組成物である場合、Arで表される芳香環基、並びに、Rnで表されるアルキル基、シクロアルキル基、及びアリール基は、置換基としてフッ素原子及びヨウ素原子を有しているのも好ましい。
 酸分解性がより向上する点で、極性基を保護する脱離基において極性基(又はその残基)に非芳香族環が直接結合している場合、上記非芳香族環中の、上記極性基(又はその残基)と直接結合している環員原子に隣接する環員原子は、置換基としてフッ素原子等のハロゲン原子を有さないのも好ましい。
 酸の作用により脱離する脱離基は、他にも、3-メチル-2-シクロペンテニル基のような置換基(アルキル基等)を有する2-シクロペンテニル基、及び1,1,4,4-テトラメチルシクロヘキシル基のような置換基(アルキル基等)を有するシクロヘキシル基でもよい。
 繰り返し単位(A-a)としては、式(A)で表される繰り返し単位も好ましい。
Figure JPOXMLDOC01-appb-C000002
 Lは、フッ素原子又はヨウ素原子を有していてもよい2価の連結基を表し、Rは水素原子、フッ素原子、ヨウ素原子、フッ素原子若しくはヨウ素原子を有していてもよいアルキル基、又はフッ素原子若しくはヨウ素原子を有していてもよいアリール基を表し、Rは酸の作用によって脱離し、フッ素原子又はヨウ素原子を有していてもよい脱離基を表す。
 なお、式(A)で表される繰り返し単位の好適な一態様として、L、R、及びRのうち少なくとも1つは、フッ素原子又はヨウ素原子を有する態様も挙げられる。
 Lは、フッ素原子又はヨウ素原子を有していてもよい2価の連結基を表す。フッ素原子又はヨウ素原子を有していてもよい2価の連結基としては、-CO-、-O-、-S―、-SO-、―SO-、フッ素原子又はヨウ素原子を有していてもよい炭化水素基(例えば、アルキレン基、シクロアルキレン基、アルケニレン基、アリーレン基等)、及びこれらの複数が連結した連結基等が挙げられる。なかでも、Lとしては、-CO-、アリーレン基、又は-アリーレン基-フッ素原子若しくはヨウ素原子を有していてもよいアルキレン基-が好ましく、-CO-、アリーレン基、又は-アリーレン基-フッ素原子若しくはヨウ素原子を有していてもよいアルキレン基-がより好ましい。
 アリーレン基としては、フェニレン基が好ましい。
 アルキレン基は、直鎖状であっても、分岐鎖状であってもよい。アルキレン基の炭素数は特に制限されないが、1~10が好ましく、1~3がより好ましい。
 アルキレン基がフッ素原子又はヨウ素原子を有する場合、アルキレン基に含まれるフッ素原子及びヨウ素原子の合計数は特に制限されないが、2以上が好ましく、2~10がより好ましく、3~6が更に好ましい。
 Rは、水素原子、フッ素原子、ヨウ素原子、フッ素原子若しくはヨウ素原子が有していてもよいアルキル基、又はフッ素原子若しくはヨウ素原子を有していてもよいアリール基を表す。
 アルキル基は、直鎖状であっても、分岐鎖状であってもよい。アルキル基の炭素数は特に制限されないが、1~10が好ましく、1~3がより好ましい。
 フッ素原子又はヨウ素原子を有するアルキル基に含まれるフッ素原子及びヨウ素原子の合計数は特に制限されないが、1以上が好ましく、1~5がより好ましく、1~3が更に好ましい。
 上記アルキル基は、ハロゲン原子以外の酸素原子等のヘテロ原子を含んでいてもよい。
 Rは、酸の作用によって脱離し、フッ素原子又はヨウ素原子を有していてもよい脱離基を表す。フッ素原子又はヨウ素原子を有していてもよい脱離基としては、上述した式(Y1)~(Y4)で表され且つフッ素原子又はヨウ素原子を有する脱離基が挙げられ、好適態様も同じである。
 繰り返し単位(A-a)としては、一般式(AI)で表される繰り返し単位も好ましい。
Figure JPOXMLDOC01-appb-C000003
 一般式(AI)において、
 Xaは、水素原子、又は、置換基を有していてもよいアルキル基を表す。
 Tは、単結合、又は、2価の連結基を表す。
 Rx~Rxは、それぞれ独立に、アルキル基(直鎖状、又は、分岐鎖状)、シクロアルキル基(単環、又は、多環)、アリール基、又は、アルケニル基を表す。ただし、Rx~Rxの全てがアルキル基(直鎖状、又は、分岐鎖状)である場合、Rx~Rxのうち少なくとも2つはメチル基であることが好ましい。
 Rx~Rxの2つが結合して、シクロアルキル基(単環もしくは多環)を形成してもよい。
 Xaにより表される、置換基を有していてもよいアルキル基としては、例えば、メチル基又は-CH-R11で表される基が挙げられる。R11は、ハロゲン原子(フッ素原子等)、水酸基又は1価の有機基を表し、例えば、ハロゲン原子が置換していてもよい炭素数5以下のアルキル基、ハロゲン原子が置換していてもよい炭素数5以下のアシル基、及び、ハロゲン原子が置換していてもよい炭素数5以下のアルコキシ基が挙げられ、炭素数3以下のアルキル基が好ましく、メチル基がより好ましい。Xaとしては、水素原子、メチル基、トリフルオロメチル基、又は、ヒドロキシメチル基が好ましい。
 Tの2価の連結基としては、アルキレン基、芳香環基、-COO-Rt-基、及び、-O-Rt-基等が挙げられる。式中、Rtは、アルキレン基、又は、シクロアルキレン基を表す。
 Tは、単結合又は-COO-Rt-基が好ましい。Tが-COO-Rt-基を表す場合、Rtは、炭素数1~5のアルキレン基が好ましく、-CH-基、-(CH-基、又は、-(CH-基がより好ましい。
 Rx~Rxのアルキル基としては、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、及び、t-ブチル基等の炭素数1~4のアルキル基が好ましい。
 Rx~Rxのシクロアルキル基としては、シクロペンチル基、及び、シクロヘキシル基等の単環のシクロアルキル基、又は、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及び、アダマンチル基等の多環のシクロアルキル基が好ましい。
 Rx~Rxの2つが結合して形成されるシクロアルキル基としては、シクロペンチル基、及び、シクロヘキシル基等の単環のシクロアルキル基が好ましく、その他にも、ノルボルニル基、テトラシクロデカニル基、テトラシクロドデカニル基、及び、アダマンチル基等の多環のシクロアルキル基が好ましい。なかでも、炭素数5~6の単環のシクロアルキル基が好ましい。
 Rx~Rxの2つが結合して形成されるシクロアルキル基は、例えば、環を構成するメチレン基の1つが、酸素原子等のヘテロ原子、又は、カルボニル基等のヘテロ原子を有する基で置き換わっていてもよい。
 Rx~Rxのアルケニル基としては、ビニル基が挙げられる。
 Rx~Rxのアリール基としては、フェニル基が挙げられる。
 一般式(AI)で表される繰り返し単位は、例えば、Rxがメチル基又はエチル基であり、RxとRxとが結合して上述のシクロアルキル基を形成している態様が好ましい。
 上記各基が置換基を有する場合、置換基としては、例えば、アルキル基(炭素数1~4)、ハロゲン原子、水酸基、アルコキシ基(炭素数1~4)、カルボキシル基、及び、アルコキシカルボニル基(炭素数2~6)等が挙げられる。置換基中の炭素数は、8以下が好ましい。
 一般式(AI)で表される繰り返し単位としては、好ましくは、酸分解性(メタ)アクリル酸3級アルキルエステル系繰り返し単位(Xaが水素原子又はメチル基を表し、かつ、Tが単結合を表す繰り返し単位)である。
 樹脂(A)は、繰り返し単位(A-a)を1種単独で有していてもよく、2種以上を有していてもよい。
 繰り返し単位(A-a)の含有量(2種以上の繰り返し単位(A-a)が存在する場合は合計含有量)は、樹脂(A)中の全繰り返し単位に対し、15~80モル%が好ましく、20~70モル%がより好ましい。
 樹脂(A)は、繰り返し単位(A-a)として、下記一般式(A-VIII)~(A-XII)で表される繰り返し単位からなる群より選択される少なくとも1つの繰り返し単位を有することが好ましい。
Figure JPOXMLDOC01-appb-C000004
 一般式(A-VIII)中、Rは、tert-ブチル基、-CO-O-(tert-ブチル)基を表す。
 一般式(A-IX)中、R及びRは、それぞれ独立に、1価の有機基を表す。1価の有機基としては、アルキル基、シクロアルキル基、アリール基、アラルキル基、及び、アルケニル基等が挙げられる。
 一般式(A-X)中、pは、1又は2を表す。
 一般式(A-X)~(A-XII)中、Rは、水素原子又は炭素数1~3のアルキル基を表し、Rは、炭素数1~3のアルキル基を表す。
 一般式(A-XII)中、R10は、炭素数1~3のアルキル基又はアダマンチル基を表す。
≪酸基を有する繰り返し単位(A-1)≫
 樹脂(A)は、酸基を有する繰り返し単位(A-1)を有してもよい。
 酸基としては、pKaが13以下の酸基が好ましい。上記酸基の酸解離定数としては、13以下が好ましく、3~13がより好ましく、5~10が更に好ましい。
 樹脂(A)が、pKaが13以下の酸基を有する場合、樹脂(A)中における酸基の含有量は特に制限されないが、0.2~6.0mmol/gの場合が多い。なかでも、0.8~6.0mmol/gが好ましく、1.2~5.0mmol/gがより好ましく、1.6~4.0mmol/gが更に好ましい。酸基の含有量が上記範囲内であれば、現像が良好に進行し、形成されるパターン形状により優れ、解像性にもより優れる。
 酸基としては、例えば、カルボキシル基、水酸基、フェノール性水酸基、フッ素化アルコール基(好ましくはヘキサフルオロイソプロパノール基)、スルホン酸基、スルホンアミド基、又はイソプロパノール基等が好ましい。
 また、上記ヘキサフルオロイソプロパノール基は、フッ素原子の1つ以上(好ましくは1~2つ)が、フッ素原子以外の基(アルコキシカルボニル基等)で置換されてもよい。このように形成された-C(CF)(OH)-CF-も、酸基として好ましい。また、フッ素原子の1つ以上がフッ素原子以外の基に置換されて、-C(CF)(OH)-CF-を含む環を形成してもよい。
 酸基を有する繰り返し単位(A-1)は、上述の酸の作用により脱離する脱離基で極性基が保護された構造を有する繰り返し単位、及び後述するラクトン基、スルトン基、又はカーボネート基を有する繰り返し単位(A-2)とは異なる繰り返し単位が好ましい。
 酸基を有する繰り返し単位は、フッ素原子又はヨウ素原子を有していてもよい。
 酸基を有する繰り返し単位としては、例えば、特開2018-189758号公報の段落0089~0100に記載のフェノール性水酸基を有する繰り返し単位が好適に使用できる。
 樹脂(A)が酸基を有する繰り返し単位(A-1)を含む場合、この樹脂(A)を含むレジスト組成物は、KrF露光用、EB露光用又はEUV露光用として好ましい。このような態様の場合、樹脂(A)中の酸基を有する繰り返し単位の含有量としては、樹脂(A)中の全繰り返し単位に対して、30~100モル%が好ましく、40~100モル%がより好ましく、50~100モル%が更に好ましい。
≪ラクトン構造、スルトン構造、カーボネート構造、及びヒドロキシアダマンタン構造からなる群より選択される少なくとも1種を有する繰り返し単位(A-2)≫
 樹脂(A)は、ラクトン構造、カーボネート構造、スルトン構造、及びヒドロキシアダマンタン構造からなる群より選択される少なくとも1種を有する繰り返し単位(A-2)を有していてもよい。
 ラクトン構造又はスルトン構造を有する繰り返し単位におけるラクトン構造又はスルトン構造は、特に制限されないが、5~7員環ラクトン構造又は5~7員環スルトン構造が好ましく、5~7員環ラクトン構造にビシクロ構造、スピロ構造を形成する形で他の環構造が縮環しているもの、又は5~7員環スルトン構造にビシクロ構造、スピロ構造を形成する形で他の環構造が縮環しているものがより好ましい。
 ラクトン構造又はスルトン構造を有する繰り返し単位としては、WO2016/136354号の段落0094~0107に記載の繰り返し単位が挙げられる。
 樹脂(A)は、カーボネート構造を有する繰り返し単位を有していてもよい。カーボネート構造は、環状炭酸エステル構造であることが好ましい。
 カーボネート構造を有する繰り返し単位としては、WO2019/054311号の段落0106~0108に記載の繰り返し単位が挙げられる。
 樹脂(A)は、ヒドロキシアダマンタン構造を有する繰り返し単位を有していてもよい。ヒドロキシアダマンタン構造を有する繰り返し単位としては、下記一般式(AIIa)で表される繰り返し単位が挙げられる。
Figure JPOXMLDOC01-appb-C000005
 一般式(AIIa)中、Rcは、水素原子、メチル基、トリフロロメチル基又はヒドロキシメチル基を表す。Rc~Rcは、それぞれ独立に、水素原子又は水酸基を表す。但し、Rc~Rcのうちの少なくとも1つは、水酸基を表す。Rc~Rcのうちの1つ又は2つが水酸基で、残りが水素原子であることが好ましい。
≪フッ素原子又はヨウ素原子を有する繰り返し単位≫
 樹脂(A)は、フッ素原子又はヨウ素原子を有する繰り返し単位を有していてもよい。
 フッ素原子又はヨウ素原子を有する繰り返し単位としては、特開2019-045864号の段落0080~0081に記載の繰り返し単位が挙げられる。
≪光酸発生基を有する繰り返し単位≫
 樹脂(A)は、上記以外の繰り返し単位として、放射線の照射により酸を発生する基を有する繰り返し単位を有していてもよい。
 フッ素原子又はヨウ素原子を有する繰り返し単位としては、特開2019-045864号の段落0092~0096に記載の繰り返し単位が挙げられる。
≪アルカリ可溶性基を有する繰り返し単位≫
 樹脂(A)は、アルカリ可溶性基を有する繰り返し単位を有していてもよい。
 アルカリ可溶性基としては、カルボキシル基、スルホンアミド基、スルホニルイミド基、ビスルスルホニルイミド基、α位が電子求引性基で置換された脂肪族アルコール基(例えば、ヘキサフロロイソプロパノール基)が挙げられ、カルボキシル基が好ましい。樹脂(A)がアルカリ可溶性基を有する繰り返し単位を有することにより、コンタクトホール用途での解像性が増す。
 アルカリ可溶性基を有する繰り返し単位としては、アクリル酸及びメタクリル酸による繰り返し単位のような樹脂の主鎖に直接アルカリ可溶性基が結合している繰り返し単位、又は、連結基を介して樹脂の主鎖にアルカリ可溶性基が結合している繰り返し単位が挙げられる。なお、連結基は、単環又は多環の環状炭化水素構造を有していてもよい。
 アルカリ可溶性基を有する繰り返し単位としては、アクリル酸又はメタクリル酸による繰り返し単位が好ましい。
≪酸分解性基及び極性基のいずれも有さない繰り返し単位≫
 樹脂(A)は、更に、酸分解性基及び極性基のいずれも有さない繰り返し単位を有してもよい。酸分解性基及び極性基のいずれも有さない繰り返し単位は、脂環炭化水素構造を有することが好ましい。
 酸分解性基及び極性基のいずれも有さない繰り返し単位としては、例えば、米国特許出願公開第2016/0026083号明細書の段落0236~0237に記載された繰り返し単位、及び、米国特許出願公開第2016/0070167号明細書の段落0433に記載された繰り返し単位が挙げられる。
 樹脂(A)は、上記の繰り返し構造単位以外に、ドライエッチング耐性、標準現像液適性、基板密着性、レジストプロファイル、解像力、耐熱性、及び、感度等を調節する目的で様々な繰り返し構造単位を有していてもよい。
≪樹脂(A)の特性≫
 樹脂(A)としては、繰り返し単位のすべてが(メタ)アクリレート系モノマーに由来する繰り返し単位で構成されることが好ましい。この場合、繰り返し単位のすべてがメタクリレート系モノマーに由来するもの、繰り返し単位のすべてがアクリレート系モノマーに由来するもの、繰り返し単位のすべてがメタクリレート系モノマー及びアクリレート系モノマーに由来するもののいずれの樹脂でも用いることができる。アクリレート系モノマーに由来する繰り返し単位が、樹脂(A)中の全繰り返し単位に対して50モル%以下であることが好ましい。
 レジスト組成物がフッ化アルゴン(ArF)露光用であるとき、ArF光の透過性の観点から、樹脂(A)は実質的には芳香族基を有さないことが好ましい。より具体的には、芳香族基を有する繰り返し単位が、樹脂(A)の全繰り返し単位に対して5モル%以下であることが好ましく、3モル%以下であることがより好ましく、理想的には0モル%、すなわち芳香族基を有する繰り返し単位を有さないことが更に好ましい。
 また、レジスト組成物がArF露光用であるとき、樹脂(A)は、単環又は多環の脂環炭化水素構造を有することが好ましく、また、フッ素原子及び珪素原子のいずれも含まないことが好ましい。
 レジスト組成物がフッ化クリプトン(KrF)露光用、EB露光用又はEUV露光用であるとき、樹脂(A)は芳香族炭化水素基を有する繰り返し単位を有することが好ましく、フェノール性水酸基を有する繰り返し単位を有することがより好ましい。
 フェノール性水酸基を有する繰り返し単位としては、上述の酸基を有する繰り返し単位(A-1)として例示した繰り返し単位、及び、ヒドロキシスチレン(メタ)アクリレート由来の繰り返し単位が挙げられる。
 また、レジスト組成物が、KrF露光用、EB露光用、又はEUV露光用であるとき、樹脂(A)は、フェノール性水酸基の水素原子が酸の作用により分解し脱離する基(脱離基)で保護された構造を有する繰り返し単位を有することも好ましい。
 レジスト組成物が、KrF露光用、EB露光用、又はEUV露光用であるとき、樹脂(A)に含まれる芳香族炭化水素基を有する繰り返し単位の含有量は、樹脂(A)中の全繰り返し単位に対して、30~100モル%が好ましく、40~100モル%がより好ましく、50~100モル%が更に好ましい。
 樹脂(A)は、常法(例えばラジカル重合)に従って合成できる。
 樹脂(A)の重量平均分子量(Mw)は、1,000~200,000が好ましく、3,000~20,000がより好ましく、5,000~15,000が更に好ましい。樹脂(A)の重量平均分子量(Mw)を、1,000~200,000とすることにより、耐熱性及びドライエッチング耐性の劣化を防ぐことができ、更に、現像性の劣化、及び、粘度が高くなって製膜性が劣化することを防ぐことができる。なお、樹脂(A)の重量平均分子量(Mw)は、上述のGPC法により測定されたポリスチレン換算値である。
 樹脂(A)の分散度(分子量分布)は、通常1~5であり、1~3が好ましく、1.1~2.0がより好ましい。分散度が小さいものほど、解像度、及び、レジスト形状が優れ、更に、パターンの側壁がスムーズであり、ラフネス性に優れる。
 レジスト組成物において、樹脂(A)の含有量は、レジスト組成物の全固形分に対して、50~99.9質量%が好ましく、60~99.0質量%がより好ましい。
 また、樹脂(A)は、1種単独で使用してもよいし、2種以上を併用してもよい。
 なお、本明細書において、固形分とは溶剤を除いたレジスト膜を構成し得る成分を意味する。上記成分の性状が液状であっても、固形分として扱う。
(光酸発生剤(P))
 レジスト組成物は、光酸発生剤(P)を含む。光酸発生剤(P)は、放射線の照射により酸を発生する化合物であれば特に制限されない。
 光酸発生剤(P)は、低分子化合物の形態であってもよく、重合体の一部に組み込まれた形態であってもよい。また、低分子化合物の形態と重合体の一部に組み込まれた形態を併用してもよい。
 光酸発生剤(P)が、低分子化合物の形態である場合、重量平均分子量(Mw)が3000以下であることが好ましく、2000以下であることがより好ましく、1000以下であることが更に好ましい。
 光酸発生剤(P)が、重合体の一部に組み込まれた形態である場合、樹脂(A)の一部に組み込まれてもよく、樹脂(A)とは異なる樹脂に組み込まれてもよい。
 本発明において、光酸発生剤(P)は、低分子化合物の形態であることが好ましい。
 光酸発生剤(P)としては、公知のものであれば特に制限されないが、放射線の照射により、有機酸を発生する化合物が好ましく、分子中にフッ素原子又はヨウ素原子を有する光酸発生剤がより好ましい。
 上記有機酸として、例えば、スルホン酸(脂肪族スルホン酸、芳香族スルホン酸、及び、カンファースルホン酸等)、カルボン酸(脂肪族カルボン酸、芳香族カルボン酸、及び、アラルキルカルボン酸等)、カルボニルスルホニルイミド酸、ビス(アルキルスルホニル)イミド酸、及び、トリス(アルキルスルホニル)メチド酸等が挙げられる。
 光酸発生剤(P)より発生する酸の体積は特に制限されないが、露光で発生した酸の非露光部への拡散を抑制し、解像性を良好にする点から、240Å以上が好ましく、305Å以上がより好ましく、350Å以上が更に好ましく、400Å以上が特に好ましい。なお、感度又は塗布溶剤への溶解性の点から、光酸発生剤(P)より発生する酸の体積は、1500Å以下が好ましく、1000Å以下がより好ましく、700Å以下が更に好ましい。
 上記体積の値は、富士通株式会社製の「WinMOPAC」を用いて求める。上記体積の値の計算にあたっては、まず、各例に係る酸の化学構造を入力し、次に、この構造を初期構造としてMM(Molecular Mechanics)3法を用いた分子力場計算により、各酸の最安定立体配座を決定し、その後、これら最安定立体配座についてPM(Parameterized Model number)3法を用いた分子軌道計算を行うことにより、各酸の「accessible volume」を計算できる。
 光酸発生剤(P)より発生する酸の構造は特に制限されないが、酸の拡散を抑制し、解像性を良好にする点で、光酸発生剤(P)より発生する酸と樹脂(A)との間の相互作用が強いことが好ましい。この点から、光酸発生剤(P)より発生する酸が有機酸である場合、例えば、スルホン酸基、カルボン酸基、カルボニルスルホニルイミド酸基、ビススルホニルイミド酸基、及び、トリススルホニルメチド酸基等の有機酸基、以外に、更に極性基を有することが好ましい。
 極性基としては、例えば、エーテル基、エステル基、アミド基、アシル基、スルホ基、スルホニルオキシ基、スルホンアミド基、チオエーテル基、チオエステル基、ウレア基、カーボネート基、カーバメート基、ヒドロキシル基、及び、メルカプト基が挙げられる。
 発生する酸が有する極性基の数は特に制限されず、1個以上であることが好ましく、2個以上であることがより好ましい。ただし、過剰な現像を抑制する観点から、極性基の数は、6個未満であることが好ましく、4個未満であることがより好ましい。
 なかでも、本発明の効果がより優れる点で、光酸発生剤(P)は、アニオン部及びカチオン部からなる光酸発生剤であることが好ましい。
 光酸発生剤(P)としては、特開2019-045864号の段落0144~0173に記載の光酸発生剤が挙げられる。
 光酸発生剤(P)の含有量は特に制限されないが、本発明の効果がより優れる点で、レジスト組成物の全固形分に対して、5~50質量%が好ましく、5~40質量%がより好ましく、5~35質量%が更に好ましい。
 光酸発生剤(P)は、1種単独で使用してもよいし、2種以上を併用してもよい。光酸発生剤(P)を2種以上併用する場合は、その合計量が上記範囲内であることが好ましい。
(酸拡散制御剤(Q))
 レジスト組成物は、酸拡散制御剤(Q)を含んでいてもよい。
 酸拡散制御剤(Q)は、露光時に光酸発生剤(P)等から発生する酸をトラップし、余分な発生酸による、未露光部における酸分解性樹脂の反応を抑制するクエンチャーとして作用するものである。酸拡散制御剤(Q)としては、例えば、塩基性化合物(DA)、放射線の照射により塩基性が低下又は消失する塩基性化合物(DB)、光酸発生剤(P)に対して相対的に弱酸となるオニウム塩(DC)、窒素原子を有し、酸の作用により脱離する基を有する低分子化合物(DD)、及び、カチオン部に窒素原子を有するオニウム塩化合物(DE)等が使用できる。
 レジスト組成物においては、公知の酸拡散制御剤を適宜使用できる。例えば、米国特許出願公開第2016/0070167号明細書の段落[0627]~[0664]、米国特許出願公開第2015/0004544号明細書の段落[0095]~[0187]、米国特許出願公開第2016/0237190号明細書の段落[0403]~[0423]、及び、米国特許出願公開第2016/0274458号明細書の段落[0259]~[0328]に開示された公知の化合物を、酸拡散制御剤(Q)として好適に使用できる。
 塩基性化合物(DA)としては、特開2019-045864号の段落0188~0208に記載の繰り返し単位が挙げられる。
 レジスト組成物では、光酸発生剤(P)に対して相対的に弱酸となるオニウム塩(DC)を酸拡散制御剤(Q)として使用できる。
 光酸発生剤(P)と、光酸発生剤(P)から生じた酸に対して相対的に弱酸である酸を発生するオニウム塩とを混合して用いた場合、活性光線性又は放射線の照射により光酸発生剤(P)から生じた酸が未反応の弱酸アニオンを有するオニウム塩と衝突すると、塩交換により弱酸を放出して強酸アニオンを有するオニウム塩を生じる。この過程で強酸がより触媒能の低い弱酸に交換されるため、見かけ上、酸が失活して酸拡散を制御できる。
 光酸発生剤(P)に対して相対的に弱酸となるオニウム塩としては、特開2019-070676号の段落0226~0233に記載のオニウム塩が挙げられる。
 レジスト組成物に酸拡散制御剤(Q)が含まれる場合、酸拡散制御剤(Q)の含有量(複数種存在する場合はその合計)は、レジスト組成物の全固形分に対して、0.1~10.0質量%が好ましく、0.1~5.0質量%がより好ましい。
 レジスト組成物において、酸拡散制御剤(Q)は1種単独で使用してもよいし、2種以上を併用してもよい。
(疎水性樹脂(E))
 レジスト組成物は、疎水性樹脂(E)として、上記樹脂(A)とは異なる疎水性の樹脂を含んでいてもよい。
 疎水性樹脂(E)は、レジスト膜の表面に偏在するように設計されることが好ましいが、界面活性剤とは異なり、必ずしも分子内に親水基を有する必要はなく、極性物質及び非極性物質を均一に混合することに寄与しなくてもよい。
 疎水性樹脂(E)を添加することの効果として、水に対するレジスト膜表面の静的及び動的な接触角の制御、並びに、アウトガスの抑制等が挙げられる。
 疎水性樹脂(E)は、膜表層への偏在化の観点から、“フッ素原子”、“珪素原子”、及び、“樹脂の側鎖部分に含まれたCH部分構造”のいずれか1種以上を有することが好ましく、2種以上を有することがより好ましい。また、疎水性樹脂(E)は、炭素数5以上の炭化水素基を有することが好ましい。これらの基は樹脂の主鎖中に有していても、側鎖に置換していてもよい。
 疎水性樹脂(E)が、フッ素原子及び/又は珪素原子を含む場合、疎水性樹脂における上記フッ素原子及び/又は珪素原子は、樹脂の主鎖中に含まれていてもよく、側鎖中に含まれていてもよい。
 疎水性樹脂(E)がフッ素原子を有している場合、フッ素原子を有する部分構造としては、フッ素原子を有するアルキル基、フッ素原子を有するシクロアルキル基、又は、フッ素原子を有するアリール基が好ましい。
 フッ素原子を有するアルキル基(好ましくは炭素数1~10、より好ましくは炭素数1~4)は、少なくとも1つの水素原子がフッ素原子で置換された直鎖状又は分岐鎖状のアルキル基であり、更にフッ素原子以外の置換基を有していてもよい。
 フッ素原子を有するシクロアルキル基は、少なくとも1つの水素原子がフッ素原子で置換された単環又は多環のシクロアルキル基であり、更にフッ素原子以外の置換基を有していてもよい。
 フッ素原子を有するアリール基としては、フェニル基、及び、ナフチル基等のアリール基の少なくとも1つの水素原子がフッ素原子で置換されたものが挙げられ、更にフッ素原子以外の置換基を有していてもよい。
 フッ素原子又は珪素原子を有する繰り返し単位の例としては、米国特許出願公開第2012/0251948号明細書の段落0519に例示されたものが挙げられる。
 また、上記したように、疎水性樹脂(E)は、側鎖部分にCH部分構造を有することも好ましい。
 ここで、疎水性樹脂中の側鎖部分が有するCH部分構造は、エチル基、及び、プロピル基等を有するCH部分構造を含む。
 一方、疎水性樹脂(E)の主鎖に直接結合しているメチル基(例えば、メタクリル酸構造を有する繰り返し単位のα-メチル基)は、主鎖の影響により疎水性樹脂(E)の表面偏在化への寄与が小さいため、本発明におけるCH部分構造に含まれないものとする。
 疎水性樹脂(E)に関しては、特開2014-010245号公報の段落[0348]~[0415]の記載を参酌でき、これらの内容は本明細書に組み込まれる。
 なお、疎水性樹脂(E)としては、特開2011-248019号公報、特開2010-175859号公報、及び、特開2012-032544号公報に記載された樹脂も、好ましく用いることができる。
 レジスト組成物が疎水性樹脂(E)を含む場合、疎水性樹脂(E)の含有量は、レジスト組成物の全固形分に対して、0.01~20質量%が好ましく、0.1~15質量%がより好ましい。
(溶剤(F))
 レジスト組成物は、溶剤(F)を含んでいてもよい。
 レジスト組成物がEUV用の感放射線性樹脂組成物である場合、溶剤(F)は、(M1)プロピレングリコールモノアルキルエーテルカルボキシレート、並びに、(M2)プロピレングリコールモノアルキルエーテル、乳酸エステル、酢酸エステル、アルコキシプロピオン酸エステル、鎖状ケトン、環状ケトン、ラクトン、及び、アルキレンカーボネートからなる群より選択される少なくとも1つの少なくとも一方を含んでいることが好ましい。この場合の溶剤は、成分(M1)及び(M2)以外の成分を更に含んでいてもよい。
 成分(M1)又は(M2)を含んでいる溶剤は、上述した樹脂(A)とを組み合わせて用いると、レジスト組成物の塗布性が向上すると共に、現像欠陥数の少ないパターンが形成可能となるため、好ましい。
 また、レジスト組成物がArF用の感放射線性樹脂組成物である場合、溶剤(F)としては、例えば、アルキレングリコールモノアルキルエーテルカルボキシレート、アルキレングリコールモノアルキルエーテル、乳酸アルキルエステル、アルコキシプロピオン酸アルキル、環状ラクトン(好ましくは炭素数4~10)、環を含んでいてもよいモノケトン化合物(好ましくは炭素数4~10)、アルキレンカーボネート、アルコキシ酢酸アルキル、及び、ピルビン酸アルキル等の有機溶剤が挙げられる。
 レジスト組成物中の溶剤(F)の含有量は、固形分濃度が0.5~40質量%となるように定めることが好ましい。
 レジスト組成物の一態様としては、固形分濃度が10質量%以上であるのも好ましい。
(界面活性剤(H))
 レジスト組成物は、界面活性剤(H)を含んでいてもよい。界面活性剤(H)を含むことにより、密着性により優れ、現像欠陥のより少ないパターンを形成できる。
 界面活性剤(H)としては、フッ素系及び/又はシリコン系界面活性剤が好ましい。
 フッ素系及び/又はシリコン系界面活性剤としては、例えば、米国特許出願公開第2008/0248425号明細書の段落[0276]に記載の界面活性剤が挙げられる。また、エフトップEF301又はEF303(新秋田化成(株)製);フロラードFC430、431又は4430(住友スリーエム(株)製);メガファックF171、F173、F176、F189、F113、F110、F177、F120又はR08(DIC(株)製);サーフロンS-382、SC101、102、103、104、105又は106(旭硝子(株)製);トロイゾルS-366(トロイケミカル(株)製);GF-300又はGF-150(東亞合成化学(株)製)、サーフロンS-393(セイミケミカル(株)製);エフトップEF121、EF122A、EF122B、RF122C、EF125M、EF135M、EF351、EF352、EF801、EF802又はEF601((株)ジェムコ製);PF636、PF656、PF6320又はPF6520(OMNOVA社製);KH-20(旭化成(株)製);FTX-204G、208G、218G、230G、204D、208D、212D、218D又は222D((株)ネオス製)を用いてもよい。なお、ポリシロキサンポリマーKP-341(信越化学工業(株)製)も、シリコン系界面活性剤として用いることができる。
 また、界面活性剤(H)は、上記に示すような公知の界面活性剤の他に、テロメリゼーション法(テロマー法ともいわれる)又はオリゴメリゼーション法(オリゴマー法ともいわれる)により製造されたフルオロ脂肪族化合物を用いて合成してもよい。具体的には、このフルオロ脂肪族化合物から導かれたフルオロ脂肪族基を備えた重合体を、界面活性剤(H)として用いてもよい。このフルオロ脂肪族化合物は、例えば、特開2002-90991号公報に記載された方法によって合成できる。
 フルオロ脂肪族基を有する重合体としては、フルオロ脂肪族基を有するモノマーと(ポリ(オキシアルキレン))アクリレート及び/又は(ポリ(オキシアルキレン))メタクリレートとの共重合体が好ましく、不規則に分布しているものでも、ブロック共重合していてもよい。また、ポリ(オキシアルキレン)基としては、ポリ(オキシエチレン)基、ポリ(オキシプロピレン)基、及び、ポリ(オキシブチレン)基が挙げられ、また、ポリ(オキシエチレンとオキシプロピレンとオキシエチレンとのブロック連結体)やポリ(オキシエチレンとオキシプロピレンとのブロック連結体)等同じ鎖長内に異なる鎖長のアルキレンを有するようなユニットでもよい。更に、フルオロ脂肪族基を有するモノマーと(ポリ(オキシアルキレン))アクリレート(又はメタクリレート)との共重合体は2元共重合体ばかりでなく、異なる2種以上のフルオロ脂肪族基を有するモノマー、及び、異なる2種以上の(ポリ(オキシアルキレン))アクリレート(又はメタクリレート)等を同時に共重合した3元系以上の共重合体でもよい。
 例えば、市販の界面活性剤としては、メガファックF178、F-470、F-473、F-475、F-476、F-472(DIC(株)製)、C13基を有するアクリレート(又はメタクリレート)と(ポリ(オキシアルキレン))アクリレート(又はメタクリレート)との共重合体、C基を有するアクリレート(又はメタクリレート)と(ポリ(オキシエチレン))アクリレート(又はメタクリレート)と(ポリ(オキシプロピレン))アクリレート(又はメタクリレート)との共重合体が挙げられる。
 また、米国特許出願公開第2008/0248425号明細書の段落[0280]に記載されているフッ素系及び/又はシリコン系以外の界面活性剤を使用してもよい。
 これら界面活性剤(H)は、1種を単独で用いてもよく、又は、2種以上を組み合わせて用いてもよい。
 界面活性剤(H)の含有量は、レジスト組成物の全固形分に対して、0.0001~2質量%が好ましく、0.0005~1質量%がより好ましい。
(その他の添加剤)
 レジスト組成物は、架橋剤、アルカリ可溶性樹脂、溶解阻止化合物、染料、可塑剤、光増感剤、光吸収剤、及び/又は、現像液に対する溶解性を促進させる化合物を更に含んでいてもよい。
[レジスト組成物の製造方法]
 本発明に係るレジスト組成物の製造方法について説明する。
 本発明に係るレジスト組成物の製造方法は、本検査方法に従ってレジスト組成物を検査する検査工程を有するものであれば、特に制限されない。
 上記検査工程において用いるレジスト組成物を準備する方法は特に制限されず、例えば、下記の組成物調製工程により調製された組成物、及び、譲渡等により入手した組成物が挙げられる。
 レジスト組成物の製造方法は、レジスト組成物を調製する組成物調製工程と、組成物調製工程で調製されるレジスト組成物を、本検査方法に従って検査する検査工程と、を有することが好ましい。
 本検査方法については、既述のとおりであり、好適態様も同じである。
 組成物調製工程としては、本検査工程に用いるレジスト組成物を製造できる工程であれば特に制限されない。
 組成物調製工程は、例えば、酸分解性樹脂及び光酸発生剤等の各種成分を混合する工程を有し、上記各成分を溶剤に溶解させた後、フィルターを用いて濾過する工程を有することが好ましい。上記フィルター濾過においては、素材が異なる複数のフィルターを用いること(循環濾過でもよい)が好ましい。より具体的には、孔径50nmのポリエチレン製フィルター、孔径10nmのナイロン製フィルター、孔径3~5nmのポリエチレン製フィルターを順列に接続し、濾過を行うことが好ましい。濾過は、2回以上の循環濾過を行う方法も好ましい。なお、上記濾過工程は、レジスト組成物中の金属原子の含有量を低減させる効果もある。フィルター間の圧力差は小さい程好ましく、0.1MPa以下が好ましく、0.05MPa以下がより好ましく、0.01MPa以下が更に好ましい。
 また、レジスト組成物の製造においてフィルターを用いて循環濾過を行う方法としては、例えば、孔径50nmのポリテトラフルオロエチレン製フィルターを用いて2回以上循環濾過を行う方法も好ましい。
 本発明のレジスト組成物の製造方法では、検査工程において「合格」と判定された組成物を製造できる。言い換えると、本発明の製造方法では、検査工程において「合格」と判定され、所定期間保存した後のLWR性能に優れたレジスト組成物が得られる。
[レジスト組成物の用途]
 本検査方法により検査されたレジスト組成物は、例えば、レジスト膜の形成、及び、レジストパターンの形成に用いられる。レジスト組成物を用いてレジスト膜を形成する方法は特に制限されず、例えば、レジスト組成物を用いて、基板上にレジスト膜を形成する工程を有する方法が挙げられる。
 レジスト組成物を用いてレジストパターンを形成する方法の手順は特に制限されないが、以下の工程を有することが好ましい。
・工程1:レジスト組成物を基板上に塗布し、レジスト膜を形成する工程
・工程2:レジスト膜を露光する工程
・工程3:第1現像液を用いて、露光されたレジスト膜を現像し、パターンを形成する工程
 以下、上記それぞれの工程の手順について詳述する。
<工程1:レジスト膜形成工程>
 工程1は、レジスト組成物を基板上に塗布し、レジスト膜を形成する工程である。レジスト組成物については、既に説明した通りである。
 また、工程1の手順、及び、工程1により形成されるレジスト膜については、好ましい態様を含めて、上記検査方法の工程A1と同じであるため、説明を省略する。
 レジスト膜の上層にトップコート組成物を用いてトップコートを形成してもよい。
 トップコート組成物は、レジスト膜と混合せず、更にレジスト膜上層に均一に塗布できることが好ましい。
 トップコートの厚みは、10~200nmが好ましく、20~100nmがより好ましい。
 トップコートについては、特に制限されず、従来公知のトップコートを、従来公知の方法によって形成でき、例えば、特開2014-059543号公報の段落0072~0082の記載に基づいてトップコートを形成できる。
<工程2:露光工程>
 工程2は、工程1で形成したレジスト膜を露光する工程である。
 露光の方法としては、形成したレジスト膜に所定のマスクを通して放射線を照射する方法が挙げられる。
 工程2については、好ましい態様を含めて、上記検査方法の工程B1と同じであるため、説明を省略する。
<工程3:現像工程>
 工程3は、第1現像液を用いて、露光されたレジスト膜を現像し、パターンを形成する工程である。
 現像方法としては、第1現像液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、基板表面に第1現像液を表面張力によって盛り上げて一定時間静置することで現像する方法(パドル法)、基板表面に第1現像液を噴霧する方法(スプレー法)、及び、一定速度で回転している基板上に一定速度で吐出ノズルをスキャンしながら第1現像液を吐出しつづける方法(ダイナミックディスペンス法)が挙げられる。
 また、現像工程の後に、他の溶剤に置換しながら、現像を停止する工程を実施してもよい。
 現像時間は、溶解する対象である非露光部又は露光部が十分に溶解する時間であれば特に制限されず、10~300秒間が好ましく、20~120秒間がより好ましい。
 第1現像液の温度は0~50℃が好ましく、15~35℃がより好ましい。
 第1現像液については、既に説明したとおりである。
<他の工程>
 上記パターン形成方法は、工程3の後に、リンス液を用いて洗浄する工程を含むことが好ましい。
 現像液を用いて現像する工程の後のリンス工程に用いるリンス液としては、例えば、純水が挙げられる。なお、純水には、界面活性剤を適当量添加してもよい。
 リンス液には、界面活性剤を適当量添加してもよい。
 また、形成されたパターンをマスクとして、基板のエッチング処理を実施してもよい。つまり、工程3にて形成されたパターンをマスクとして、基板(又は、下層膜及び基板)を加工して、基板にパターンを形成してもよい。
 基板(又は、下層膜及び基板)の加工方法は特に制限されないが、工程3で形成されたパターンをマスクとして、基板(又は、下層膜及び基板)に対してドライエッチングを行うことにより、基板にパターンを形成する方法が好ましい。
 ドライエッチングは、1段のエッチングであっても、複数段からなるエッチングであってもよい。エッチングが複数段からなるエッチングである場合、各段のエッチングは同一の処理であっても異なる処理であってもよい。
 エッチングは、公知の方法をいずれも用いることができ、各種条件等は、基板の種類又は用途等に応じて、適宜、決定される。例えば、国際光工学会紀要(Proc.ofSPIE)Vol.6924,692420(2008)、特開2009-267112号公報等に準じて、エッチングを実施できる。また、「半導体プロセス教本 第四版 2007年刊行 発行人:SEMIジャパン」の「第4章 エッチング」に記載の方法に準ずることもできる。
 なかでも、ドライエッチングとしては、酸素プラズマエッチングが好ましい。
 レジストパターンの形成において使用される各種材料(例えば、溶剤、現像液、リンス液、反射防止膜形成用組成物、トップコート形成用組成物等)は、金属等の不純物を含まないことが好ましい。これら材料に含まれる不純物の含有量としては、1質量ppm以下が好ましく、10質量ppb以下がより好ましく、100質量ppt以下が更に好ましく、10質量ppt以下が特に好ましく、1質量ppt以下が最も好ましい。ここで、金属不純物としては、Na、K、Ca、Fe、Cu、Mn、Mg、Al、Li、Cr、Ni、Sn、Ag、As、Au、Ba、Cd、Co、Mo、Zr、Pb、Ti、V、W、及び、Zn等が挙げられる。
 上記各種材料から金属等の不純物を除去する方法としては、例えば、フィルターを用いた濾過が挙げられる。フィルター孔径としては、0.20μm以下が好ましく、0.05μm以下がより好ましく、0.01μm以下が更に好ましい。
 フィルターの材質としては、ポリテトラフルオロエチレン(PTFE)及びパーフルオロアルコキシアルカン(PFA)等のフッ素樹脂、ポリプロピレン及びポリエチレン等のポリオレフィン樹脂、ナイロン6及びナイロン66等のポリアミド樹脂が好ましい。フィルターは、有機溶剤で予め洗浄したものを用いてもよい。フィルター濾過工程では、複数又は複数種類のフィルターを直列又は並列に接続して用いてもよい。複数種類のフィルターを使用する場合は、孔径及び/又は材質が異なるフィルターを組み合わせて使用してもよい。また、各種材料を複数回濾過してもよく、複数回濾過する工程が循環濾過工程であってもよい。循環濾過工程としては、例えば、特開2002-062667号公報に開示されるような手法が好ましい。
 フィルターとしては、特開2016-201426号公報に開示されるような溶出物が低減されたものが好ましい。
 フィルター濾過のほか、吸着材による不純物の除去を行ってもよく、フィルター濾過と吸着材とを組み合わせて使用してもよい。吸着材としては、公知の吸着材を用いることができ、例えば、シリカゲル若しくはゼオライト等の無機系吸着材、又は、活性炭等の有機系吸着材を使用できる。金属吸着剤としては、例えば、特開2016-206500号公報に開示されるものが挙げられる。
 また、上記各種材料に含まれる金属等の不純物を低減する方法としては、各種材料を構成する原料として金属含有量が少ない原料を選択する、各種材料を構成する原料に対してフィルター濾過を行う、又は、装置内をフッ素樹脂等でライニング若しくはコーティングする等してコンタミネーションを可能な限り抑制した条件下で蒸留を行う等の方法が挙げられる。各種材料を構成する原料に対して行うフィルター濾過における好ましい条件は、上記した条件と同様である。
 上記の各種材料は、不純物の混入を防止するために、米国特許出願公開第2015/0227049号明細書、特開2015-123351号公報、及び、特開2017-013804号公報等に記載された容器に保存されることが好ましい。
 各種材料はレジスト組成物に使用する溶剤により希釈し、使用してもよい。
[電子デバイス]
 レジスト組成物を用いて形成されるレジスト膜及びレジストパターンは、電子デバイスの製造に用いられてもよい。
 電子デバイスとしては特に制限されず、例えば、電気電子機器(家電、OA(Office Automation)、メディア関連機器、光学用機器及び通信機器等)に搭載される電子デバイスが挙げられる。
 以下に実施例に基づいて本発明をさらに詳細に説明する。以下の実施例に示す材料、使用量、割合、処理内容、及び、処理手順等は、本発明の趣旨を逸脱しない限り適宜変更することができる。したがって、本発明の範囲は以下に示す実施例により限定的に解釈されるべきものではない。
<<第1実施例>>
[レジスト組成物の各種成分]
〔樹脂〕
 表1に示される樹脂P-1の構造を以下に示す。
Figure JPOXMLDOC01-appb-C000006
 樹脂P-1の重量平均分子量(Mw)及び分散度(Mw/Mn)は、GPC(キャリア:テトラヒドロフラン(THF))により標準ポリスチレン換算量として測定した。また、樹脂の組成比(モル%比)は、13C-NMR(Nuclear Magnetic Resonance)により測定した。
<合成例1:樹脂P-1の合成>
 窒素気流下、シクロヘキサノン194.3gを3つ口フラスコに入れ、80℃に加熱した。前述の樹脂P-1の各繰り返し単位に相当するモノマーM-1、M-2、M-3、M-4及びM-5をそれぞれ7.6g、23.8g、8.6g、1.9g及び8.3g、並びに、重合開始剤V-601(富士フイルム和光純薬株式会社製、3.17g)をシクロヘキサノン105gに溶解させた溶液を、6時間かけて3つ口フラスコ内に滴下した。滴下終了後、更に80℃で2時間反応させた。反応液を放冷した後、メタノール:水の混合液に20分間かけて反応液を滴下した。次いで、滴下により析出した粉体をろ取して乾燥することで、樹脂P-1(31.6g)が得られた。NMR(核磁気共鳴)法から求めた繰り返し単位の組成比(モル比)は25/40/20/3/12であった。得られた樹脂P-1の重量平均分子量(Mw)は10,000であり、分散度(Mw/Mn)は1.6であった。
〔光酸発生剤〕
 表1に示される光酸発生剤(PAG-1)の構造を以下に示す。
Figure JPOXMLDOC01-appb-C000007
〔クエンチャー〕
 表1に示されるクエンチャー(Q-1)の構造を以下に示す。
Figure JPOXMLDOC01-appb-C000008
〔溶剤〕
 表1に示される溶剤を以下に示す。
 SL-1: プロピレングリコールモノメチルエーテルアセテート(PGMEA)
 SL-2: プロピレングリコールモノメチルエーテル(PGME)
 SL-3: γ-ブチロラクトン
[レジスト組成物の調製]
 樹脂P-1、光酸発生剤PAG-1、クエンチャーQ-1、並びに、溶剤SL-1、SL-2及びSL-3を、下記表1に示す組成になるように混合した。次いで、得られた混合液を0.03μmのポアサイズを有するポリエチレンフィルターで濾過して樹脂組成物(レジスト組成物R1)を調製した。このようにして得られたレジスト組成物R1を用いて後述する測定及び判定を実施した。
Figure JPOXMLDOC01-appb-T000009
[レジスト組成物の測定]
 レジスト組成物R1を上記の製造方法に従って12回製造し、製造順にロット番号を付与した。各製造ロットについて、それぞれ下記に示す方法で、レジスト製造から1日経過後及び1か月経過後に下記第1現像液を用いてLWR性能を測定した。また、各製造ロットについて、下記第1現像液及び下記第2現像液を用いて各種検査を行い、測定データを取得した。
 第1現像液及び第2現像液としては、下記を用いた。
・第1現像液:酢酸ブチル及びウンデカンの混合液(酢酸ブチル:ウンデカン=90:10(質量比))
・第2現像液:酢酸ブチル
〔第1現像液でのLWR性能の測定〕
<レジストパターンの形成>
 シリコンウエハ上に、下層膜形成用組成物SHB-A940(信越化学工業株式会社製)を塗布し、205℃で60秒間ベークして、厚み20nmの下層膜を形成した。その上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。これにより、レジスト膜を有するシリコンウエハを作製した。
 なお本実施例においては、直径12インチのシリコンウエハを使用した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、ASML社製EUVスキャナーNXE3300(NA0.33、σ0.9/0.7、ダイポール照明)を用いてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が30nmであり且つライン:スペース=1:1であるマスクを用いた。その後、110℃で60秒間ベーク(Post Exposure Bake;PEB)した。パターン露光されたレジスト膜を有するシリコンウエハを第1現像液に浸漬して30秒間パドル現像した後、レジスト膜を有するシリコンウエハを第1現像液から取り出して、4000rpmの回転数で30秒間回転させることにより、ピッチ60nmのラインアンドスペースパターンを得た。
<最適露光量の測定>
 上記の第1現像液を用いるラインアンドスペースパターンの作製において、上記パターン露光における露光量を変えて、それぞれの露光量で作製されたパターンのライン幅を測長走査型電子顕微鏡(SEM:Scanning Electron Microscope、株式会社日立ハイテク製「CG-4100」)を用いて測定した。測定されたライン幅が30nmであったときの露光量を求め、これを最適露光量(mJ/cm)とした。
<LWR性能の測定>
 上記最適露光量にて解像したラインアンドスペースを有するレジストパターンにおいて、SEMを用いて任意の160箇所のポイントでライン幅を観測し、その測定ばらつきを3σで評価した。値が小さいほど良好な性能であることを示す。
 上記手法によるLWR性能の測定を、製造から1日経過後、及び、製造から1か月経過後のそれぞれのレジスト組成物R1を用いて作製されたレジストパターンに対して実施した。測定結果を後述する表2に示す。
〔パターン線幅の測定(工程A1、B1、C1及びX1a)〕
<EUV露光>
 シリコンウエハ上に、下層膜形成用組成物SHB-A940(信越化学工業株式会社製)を塗布し、205℃で60秒間ベークして、厚み20nmの下層膜を形成した。その上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜付きシリコンウエハに対して、ASML社製EUVスキャナーNXE3300(NA0.33、σ0.9/0.7、ダイポール照明)を用いて30mJ/cmの露光量にてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が30nmであり、かつ、ライン:スペース=1:1であるマスクを用いた。その後、110℃で60秒間ベーク(PEB)した。
 パターン露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液に浸漬して30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させることにより、ピッチ60nmのラインアンドスペースパターンを得た。
 得られたパターンについて、SEM(株式会社日立ハイテク製「CG-4100」)を用いてライン幅の測定を行い、測定データを取得した。
<KrF露光>
 シリコンウエハ上に、有機反射防止膜形成用組成物DUV44(Brewer Science社製)を塗布し、塗膜を205℃で60秒間ベークした。これにより、シリコンウエハ上に、厚み60nmの反射防止膜を形成した。その上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、KrFエキシマレーザースキャナー(ASML社製、PAS5500/850)(NA0.80)を用いて80mJ/cmの露光量にてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が100nmであり、かつ、ライン:スペース=1:1である6%ハーフトーンマスクを用いた。その後、110℃で60秒間ベーク(PEB)した。
 パターン露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させることにより、ピッチ200nmのラインアンドスペースパターンを得た。
 得られたパターンについて、SEM(株式会社日立ハイテク製「CG-4100」)を用いてライン幅の測定を行い、測定データを取得した。
<ArF露光>
 シリコンウエハ上に、有機反射防止膜形成用組成物ARC29SR(日産化学株式会社製)を塗布し、塗膜を205℃で60秒間ベークした。これにより、シリコンウエハ上に、厚み90nmの反射防止膜を形成した。その上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、ArFエキシマレーザー液浸スキャナー(ASML社製XT1700i、NA1.20、Dipole、アウターシグマ0.900、インナーシグマ0.700、Y偏向)を用いて40mJ/cmの露光量にてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が75nmであり、かつ、ライン:スペース=1:1である6%ハーフトーンマスクを用いた。
 その後、110℃で60秒間ベーク(PEB)した。パターン露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させることにより、ピッチ150nmのラインアンドスペースパターンを得た。
 得られたパターンについて、SEM(株式会社日立ハイテク製「CG-4100」)を用いてライン幅の測定を行い、測定データを取得した。
<EB露光>
 ACTM(東京エレクトロン(株)製)を用いて、152mm角の最表面がCrであるマスクブランク上に、反射防止膜形成用組成物DUV44(Brewer Science社製)を塗布し、205℃で60秒間ベークして厚み60nmの下層膜を形成した。その上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。これにより、レジスト膜を有するマスクブランクを形成した。
 上述の手順により得られたレジスト膜を有するマスクブランクに対して、電子線露光装置((株)ニューフレアテクノロジー製EBM-9000、加速電圧50kV)を用いて、100μC/cmの露光量にてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が75nmであり、かつ、ライン:スペース=1:1である6%ハーフトーンマスクを用いた。この際、ブランクス上の寸法でライン幅が50nmであり、かつ、1:1のラインアンドスペースが形成されるように描画を行った。
 その後、110℃で60秒間ベーク(PEB)した。パターン露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させることにより、ピッチ100nmのラインアンドスペースパターンを得た。
 得られたパターンについて、SEM(株式会社日立ハイテク製「CG-4100」)を用いてライン幅の測定を行い、測定データを取得した。
〔パターン欠陥の測定(工程A1、B1、C1及びX1b)〕
<EUV露光>
 上記〔パターン線幅の測定〕<EUV露光>に記載の方法に従って、レジスト組成物R1を用いてシリコンウエハ上にピッチ60nmのラインアンドスペースパターンを形成した。
 得られたパターンについて、ケーエルエーテンコール社製の欠陥検査装置KLA2925を使用して欠陥検査を実施し、シリコンウエハの表面に存在する欠陥の数(欠陥数)を測定した。
<KrF露光>
 上記〔パターン線幅の測定〕<KrF露光>に記載の方法に従って、レジスト組成物R1を用いてシリコンウエハ上にピッチ200nmのラインアンドスペースパターンを形成した。
 得られたパターンについて、ケーエルエーテンコール社製の欠陥検査装置KLA2925を使用して欠陥検査を実施し、シリコンウエハの表面に存在する欠陥の数(欠陥数)を測定した。
<ArF露光>
 上記〔パターン線幅の測定〕<ArF露光>に記載の方法に従って、レジスト組成物R1を用いてシリコンウエハ上にピッチ150nmのラインアンドスペースパターンを形成した。
 得られたパターンについて、ケーエルエーテンコール社製の欠陥検査装置KLA2925を使用して欠陥検査を実施し、シリコンウエハの表面に存在する欠陥の数(欠陥数)を測定した。
〔レジスト膜最小溶解速度(Rmin)の測定(工程A1、B1、C1及びX1c)〕
<EUV露光>
 シリコンウエハ上に、下層膜形成用組成物SHB-A940(信越化学工業株式会社製)を塗布し、205℃で60秒間ベークして、厚み20nmの下層膜を形成した。その上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、ASML社製EUVスキャナーNXE3300(NA0.33)を用いて30mJ/cmの露光量でオープンフレーム露光を行った。その後、110℃で60秒間ベーク(PEB)し、光干渉式膜厚測定装置(「VM-3200(製品名)」、SCREENセミコンダクターソリューションズ社製)を用いてPEB後のレジスト膜の膜厚(FT1)を測定した。
 次いで、露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液に浸漬して30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させた。再び光干渉式膜厚測定装置を用いて、得られたシリコンウエハの現像後レジスト膜の膜厚(FT2)を測定した。
 得られた膜厚FT1及びFT2から、下記式を用いてレジスト膜最小溶解速度(Rmin)を算出し、測定データを取得した。
  式:Rmin=(FT1-FT2)/30 (nm/秒)
<KrF露光>
 シリコンウエハ上に、有機反射防止膜形成用組成物DUV44(Brewer Science社製)を塗布し、塗膜を205℃で60秒間ベークして厚み60nmの下層膜を形成した。その上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、KrFエキシマレーザースキャナー(ASML社製、PAS5500/850)(NA0.80)を用いて100mJ/cmの露光量でオープンフレーム露光を行った。その後、110℃で60秒間ベーク(PEB)し、光干渉式膜厚測定装置を用いてPEB後のレジスト膜の膜厚(FT1)を測定した。
 次いで、露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させた。再び光干渉式膜厚測定装置を用いて、得られたシリコンウエハの現像後レジスト膜の膜厚(FT2)を測定した。
 得られた膜厚FT1及びFT2から、下記式を用いてレジスト膜最小溶解速度(Rmin)を算出し、測定データを取得した。
  式:Rmin=(FT1-FT2)/30 (nm/秒)
<ArF露光>
 シリコンウエハ上に、有機反射防止膜形成用組成物ARC29SR(日産化学株式会社製)を塗布し、塗膜を205℃で60秒間ベークした。これにより、シリコンウエハ上に厚み90nmの下層膜を形成した。その上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、ArFエキシマレーザー液浸スキャナー(ASML社製XT1700i、NA1.20)を用いて40mJ/cmの露光量でオープンフレーム露光を行った。その後、110℃で60秒間ベーク(PEB)し、光干渉式膜厚測定装置を用いてPEB後のレジスト膜の膜厚(FT1)を測定した。
 次いで、露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させた。再び光干渉式膜厚測定装置を用いて、得られたシリコンウエハの現像後レジスト膜の膜厚(FT2)を測定した。
 得られた膜厚FT1及びFT2から、下記式を用いてレジスト膜最小溶解速度(Rmin)を算出し、測定データを取得した。
  式:Rmin=(FT1-FT2)/30 (nm/秒)
〔レジスト膜除去後の基板上の欠陥の測定(工程A2、C2及びX2a)〕
 シリコンウエハ上に、レジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 次いで、上記のレジスト膜付きシリコンウエハに第1現像液又は第2現像液を塗布(1mL/Sの流量で10秒間吐出)することで、レジスト膜を除去した。
 上記除去処理後のシリコンウエハに対して、ケーエルエーテンコール社製の暗視野欠陥検査装置SP5を使用して欠陥検査を実施し、シリコンウエハの表面に存在する19nm以上の大きさの欠陥の数(欠陥数)を測定した。
〔レジスト膜最大溶解速度(Rmax)の測定(工程A2、C2及びX2b)〕
 水晶振動子マイクロバランス法(QCM法)を用いてレジスト膜最大溶解速度(Rmax)を測定した。
 より具体的には、QCM電極上にレジスト組成物R1を塗布し、100℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。これにより、レジスト膜を有するQCM電極を作製した。
 次いで、上記のレジスト膜付きQCM電極を、第1現像液又は第2現像液に接触させることで、レジスト膜を除去した。この間、水晶振動子の振動数変化をモニタリングし、現像液の接触開始から振動数変化が一定となるまでに要した時間(T)を測定した。
 測定された時間(T)から、下記式を用いてレジスト膜最大溶解速度(Rmax)を算出し、測定データを取得した。
  式:Rmax=(35)/T (nm/秒)
 上記手法により、全12回分の製造ロットについて、第1現像液並びに第2現像液での各種測定データを取得した。なお、上記に示した測定(各種測定データの取得)は、製造から1日経過後のレジスト組成物を用いて行った。得られた測定データの結果を表2に示す。
Figure JPOXMLDOC01-appb-T000010
[レジスト組成物の判定(工程Y1又はY2)]
 表2に示すように、11番の製造ロットでは、製造から1か月経過後においても第1現像液を用いたときのLWR性能が殆ど変動していないが、12番の製造ロットでは、製造から1か月経過後における第1現像液を用いた時のLWR性能が10%以上劣化した。
 そこで、各実施例及び各比較例において、これら11番及び12番の製造ロットから得られた測定データを判定対象ロットとして、以下の判定を行った。12番の製造ロットのみを不合格と判定した場合、判定方法は妥当であると評価し、11番及び12番の製造ロットを合格と判定した場合、又は、11番及び12番の製造ロットを不合格と判定した場合、判定方法は不当であると評価した。
〔実施例1、比較例1:パターン線幅の測定データを用いた判定(EUV露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、EUV露光により形成されたレジストパターンのパターン線幅の測定データを用いて判定方法1-1~1-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法1-1では初回ロット(ロット1番)の測定データを使用し、判定方法1-2では直前ロットの測定データを使用し、判定方法1-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±5%未満(<±5%)」に設定した。結果を表3にまとめる。
Figure JPOXMLDOC01-appb-T000011
 表3に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例1では、判定方法1-1~1-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例1では、判定方法1-1~1-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例2、比較例2:パターン線幅の測定データを用いた判定(KrF露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、KrF露光により形成されたレジストパターンのパターン線幅の測定データを用いて判定方法2-1~2-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法2-1では初回ロット(ロット1番)の測定データを使用し、判定方法2-2では直前ロットの測定データを使用し、判定方法2-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±5%未満(<±5%)」に設定した。結果を表4にまとめる。
Figure JPOXMLDOC01-appb-T000012
 表4に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例2では、判定方法2-1~2-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例2では、判定方法2-1~2-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例3、比較例3:パターン線幅の測定データを用いた判定(ArF露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、ArF露光により形成されたレジストパターンのパターン線幅の測定データを用いて判定方法3-1~3-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法3-1では初回ロット(ロット1番)の測定データを使用し、判定方法3-2では直前ロットの測定データを使用し、判定方法3-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±5%未満(<±5%)」に設定した。結果を表5にまとめる。
Figure JPOXMLDOC01-appb-T000013
 表5に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例3では、判定方法3-1~3-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例3では、判定方法3-1~3-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例4、比較例4:パターン線幅の測定データを用いた判定(EB露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、EB露光により形成されたレジストパターンのパターン線幅の測定データを用いて判定方法4-1~4-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法4-1では初回ロット(ロット1番)の測定データを使用し、判定方法4-2では直前ロットの測定データを使用し、判定方法4-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±5%未満(<±5%)」に設定した。結果を表6にまとめる。
Figure JPOXMLDOC01-appb-T000014
 表6に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例4では、判定方法4-1~4-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例4では、判定方法4-1~4-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例5、比較例5:パターン欠陥の測定データを用いた判定(EUV露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、EUV露光により形成されたレジストパターンのパターン欠陥の測定データを用いて判定(判定方法5)を行い、判定の妥当性を評価した。
 判定方法5では、許容範囲を「シリコンウエハあたりの欠陥数が100個未満(<100)」に設定した。結果を表7にまとめる。
Figure JPOXMLDOC01-appb-T000015
 表7に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例5では、製造から1か月経過したロット12番のみが不合格と判定されたことから、実施例5の判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例5では、製造から1か月経過したロット12番も合格と判定されたことから、比較例5の判定方法は不当であることが分かった。
〔実施例6、比較例6:パターン欠陥の測定データを用いた判定(ArF露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、ArF露光により形成されたレジストパターンのパターン欠陥の測定データを用いて判定(判定方法6)を行い、判定の妥当性を評価した。
 判定方法6では、許容範囲を「シリコンウエハあたりの欠陥数が100個未満(<100)」に設定した。結果を表8にまとめる。
Figure JPOXMLDOC01-appb-T000016
 表8に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例6では、製造から1か月経過したロット12番のみが不合格と判定されたことから、実施例6の判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例6では、製造から1か月経過したロット12番も合格と判定されたことから、比較例6の判定方法は不当であることが分かった。
〔実施例7、比較例7:パターン欠陥の測定データを用いた判定(KrF露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、KrF露光により形成されたレジストパターンのパターン欠陥の測定データを用いて判定(判定方法7)を行い、判定の妥当性を評価した。
 判定方法7では、許容範囲を「シリコンウエハあたりの欠陥数が100個未満(<100)」に設定した。結果を表9にまとめる。
Figure JPOXMLDOC01-appb-T000017
 表9に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例7では、製造から1か月経過したロット12番のみが不合格と判定されたことから、実施例7の判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例7では、製造から1か月経過したロット12番も合格と判定されたことから、比較例7の判定方法は不当であることが分かった。
〔実施例8、比較例8:Rminの測定データを用いた判定(EUV露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、EUV露光により形成されたレジスト膜の最小溶解速度(Rmin)の測定データを用いて判定方法8-1~8-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法8-1では初回ロット(ロット1番)の測定データを使用し、判定方法8-2では直前ロットの測定データを使用し、判定方法8-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±10%未満(<±10%)」に設定した。結果を表10にまとめる。
Figure JPOXMLDOC01-appb-T000018
 表10に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例8では、判定方法8-1~8-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例8では、判定方法8-1~8-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例9、比較例9:Rminの測定データを用いた判定(KrF露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、KrF露光により形成されたレジスト膜の最小溶解速度(Rmin)の測定データを用いて判定方法9-1~9-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法9-1では初回ロット(ロット1番)の測定データを使用し、判定方法9-2では直前ロットの測定データを使用し、判定方法9-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±10%未満(<±10%)」に設定した。結果を表11にまとめる。
Figure JPOXMLDOC01-appb-T000019
 表11に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例9では、判定方法9-1~9-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例9では、判定方法9-1~9-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例10、比較例10:Rminの測定データを用いた判定(ArF露光)〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、ArF露光により形成されたレジスト膜の最小溶解速度(Rmin)の測定データを用いて判定方法10-1~10-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法10-1では初回ロット(ロット1番)の測定データを使用し、判定方法10-2では直前ロットの測定データを使用し、判定方法10-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±10%未満(<±10%)」に設定した。結果を表12にまとめる。
Figure JPOXMLDOC01-appb-T000020
 表12に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例10では、判定方法10-1~10-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例10では、判定方法10-1~10-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例11、比較例11:レジスト膜除去後欠陥の測定データを用いた判定〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、レジスト膜を除去した後のシリコンウエハの表面における欠陥数の測定データを用いて判定(判定方法11)を行い、判定の妥当性を評価した。
 判定方法11では、許容範囲を「シリコンウエハあたりの欠陥数が100個未満(<100)」に設定した。結果を表13にまとめる。
Figure JPOXMLDOC01-appb-T000021
 表13に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例11では、製造から1か月経過したロット12番のみが不合格と判定されたことから、実施例11の判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例11では、製造から1か月経過したロット12番も合格と判定されたことから、比較例11の判定方法は不当であることが分かった。
〔実施例12、比較例12:Rmaxの測定データを用いた判定〕
 表2に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、形成されたレジスト膜の最大溶解速度(Rmax)の測定データを用いて判定方法12-1~12-3の判定を行い、判定の妥当性を評価した。
 基準値として、判定方法12-1では初回ロット(ロット1番)の測定データを使用し、判定方法12-2では直前ロットの測定データを使用し、判定方法12-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±10%未満(<±10%)」に設定した。結果を表14にまとめる。
Figure JPOXMLDOC01-appb-T000022
 表14に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例12では、判定方法12-1~12-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例12では、判定方法12-1~12-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
<<第2実施例>>
[レジスト組成物の各種成分]
〔樹脂〕
 表15に示される樹脂P-2の構造を以下に示す。
Figure JPOXMLDOC01-appb-C000023
 樹脂P-2の重量平均分子量(Mw)及び分散度(Mw/Mn)は、GPC(キャリア:テトラヒドロフラン(THF))により標準ポリスチレン換算量として測定した。また、樹脂の組成比(モル%比)は、13C-NMR(Nuclear Magnetic Resonance)により測定した。
<合成例2:樹脂P-2の合成>
 窒素気流下、シクロヘキサノン194.3gを3つ口フラスコに入れ、80℃に加熱した。前述の樹脂P-2の各繰り返し単位に相当するモノマーM-6、M-7、M-8、M-9及びM-10をそれぞれ11.5g、4.6g、15.7g、6.2g及び12.0g、並びに、重合開始剤V-601(富士フイルム和光純薬株式会社製、3.44g)をシクロヘキサノン105gに溶解させた溶液を、6時間かけて3つ口フラスコ内に滴下した。滴下終了後、更に80℃で2時間反応させた。反応液を放冷した後、メタノール:水の混合液に20分間かけて反応液を滴下した。次いで、滴下により析出した粉体をろ取して乾燥することで、樹脂P-2(32.6g)が得られた。NMR(核磁気共鳴)法から求めた繰り返し単位の組成比(モル比)は30/10/30/10/20であった。得られた樹脂P-2の重量平均分子量(Mw)は9,000であり、分散度(Mw/Mn)は1.6であった。
〔光酸発生剤〕
 表15に示される光酸発生剤(PAG-2)の構造を以下に示す。
Figure JPOXMLDOC01-appb-C000024
〔クエンチャー〕
 表15に示されるクエンチャー(Q-2)の構造を以下に示す。
Figure JPOXMLDOC01-appb-C000025
[レジスト組成物の調製]
 樹脂P-2、光酸発生剤PAG-2、クエンチャーQ-2、並びに、溶剤SL-1、SL-2及びSL-3を、下記表15に示す組成になるように混合した。なお、溶剤SL-1、SL-2及びSL-3は、レジスト組成物R1に含まれる溶剤とそれぞれ同じである。
 次いで、得られた混合液を0.03μmのポアサイズを有するポリエチレンフィルターで濾過して樹脂組成物(レジスト組成物R2)を調製した。このようにして得られたレジスト組成物R2を用いて後述する測定及び判定を実施した。
Figure JPOXMLDOC01-appb-T000026
[レジスト組成物の測定]
 レジスト組成物R2を上記の製造方法に従って12回製造し、製造順にロット番号を付与した。各製造ロットについて、それぞれ下記に示す方法で、レジスト製造から1日経過後及び1か月経過後に下記第1現像液を用いてLWR性能を測定した。また、各製造ロットについて、下記第1現像液及び下記第2現像液を用いて各種検査を行い、測定データを取得した。
 第1現像液及び第2現像液としては、下記を用いた。
・第1現像液:酢酸ブチル及びデカンの混合液(酢酸ブチル:デカン=85:15(質量比))
・第2現像液:酢酸ブチル
〔第1現像液でのLWR性能の測定〕
<レジストパターンの形成>
 直径12インチのシリコンウエハ上に、下層膜形成用組成物SHB-A940(信越化学工業株式会社製)を塗布し、205℃で60秒間ベークして、厚み20nmの下層膜を形成した。その上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。これにより、レジスト膜を有するシリコンウエハを作製した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、ASML社製EUVスキャナーNXE3300(NA0.33、σ0.9/0.7、ダイポール照明)を用いてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が30nmであり且つライン:スペース=1:1であるマスクを用いた。その後、120℃で60秒間ベーク(PEB)した。パターン露光されたレジスト膜を有するシリコンウエハを第1現像液に浸漬して30秒間パドル現像した後、レジスト膜を有するシリコンウエハを第1現像液から取り出して、4000rpmの回転数で30秒間回転させることにより、ピッチ60nmのラインアンドスペースパターンを得た。
<最適露光量の測定>
 上記の第1現像液を用いるラインアンドスペースパターンの作製において、上記パターン露光における露光量を変えて、それぞれの露光量で作製されたパターンのライン幅をSEM(株式会社日立ハイテク製「CG-4100」)を用いて測定した。測定されたライン幅が30nmであったときの露光量を求め、これを最適露光量(mJ/cm)とした。
<LWR性能の測定>
 上記最適露光量にて解像したラインアンドスペースを有するレジストパターンにおいて、SEMを用いて任意の160箇所のポイントでライン幅を観測し、その測定ばらつきを3σで評価した。値が小さいほど良好な性能であることを示す。
 上記手法によるLWR性能の測定を、製造から1日経過後、及び、製造から1か月経過後のそれぞれのレジスト組成物R2を用いて作製されたレジストパターンに対して実施した。測定結果を後述する表16に示す。
〔パターン線幅の測定(工程A1、B1、C1及びX1a)〕
<EUV露光>
 シリコンウエハ上に、下層膜形成用組成物SHB-A940(信越化学工業株式会社製)を塗布し、205℃で60秒間ベークして、厚み20nmの下層膜を形成した。その上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜付きシリコンウエハに対して、ASML社製EUVスキャナーNXE3300(NA0.33、σ0.9/0.7、ダイポール照明)を用いて35mJ/cmの露光量にてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が30nmであり、かつ、ライン:スペース=1:1であるマスクを用いた。その後、120℃で60秒間ベーク(PEB)した。
 パターン露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液に浸漬して30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させることにより、ピッチ60nmのラインアンドスペースパターンを得た。
 得られたパターンについて、SEM(株式会社日立ハイテク製「CG-4100」)を用いてライン幅の測定を行い、測定データを取得した。
<KrF露光>
 シリコンウエハ上に、有機反射防止膜形成用組成物DUV44(Brewer Science社製)を塗布し、塗膜を205℃で60秒間ベークした。これにより、シリコンウエハ上に、厚み60nmの反射防止膜を形成した。その上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、KrFエキシマレーザースキャナー(ASML社製、PAS5500/850)(NA0.80)を用いて90mJ/cmの露光量にてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が100nmであり、かつ、ライン:スペース=1:1である6%ハーフトーンマスクを用いた。その後、120℃で60秒間ベーク(PEB)した。
 パターン露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させることにより、ピッチ200nmのラインアンドスペースパターンを得た。
 得られたパターンについて、SEM(株式会社日立ハイテク製「CG-4100」)を用いてライン幅の測定を行い、測定データを取得した。
<ArF露光>
 シリコンウエハ上に、有機反射防止膜形成用組成物ARC29SR(日産化学株式会社製)を塗布し、塗膜を205℃で60秒間ベークした。これにより、シリコンウエハ上に、厚み90nmの反射防止膜を形成した。その上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、ArFエキシマレーザー液浸スキャナー(ASML社製XT1700i、NA1.20、Dipole、アウターシグマ0.900、インナーシグマ0.700、Y偏向)を用いて45mJ/cmの露光量にてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が75nmであり、かつ、ライン:スペース=1:1である6%ハーフトーンマスクを用いた。
 その後、120℃で60秒間ベーク(PEB)した。パターン露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させることにより、ピッチ150nmのラインアンドスペースパターンを得た。
 得られたパターンについて、SEM(株式会社日立ハイテク製「CG-4100」)を用いてライン幅の測定を行い、測定データを取得した。
<EB露光>
 ACTM(東京エレクトロン(株)製)を用いて、152mm角の最表面がCrであるマスクブランク上に、反射防止膜形成用組成物DUV44(Brewer Science社製)を塗布し、205℃で60秒間ベークして厚み60nmの下層膜を形成した。その上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。これにより、レジスト膜を有するマスクブランクを形成した。
 上述の手順により得られたレジスト膜を有するマスクブランクに対して、電子線露光装置((株)ニューフレアテクノロジー製EBM-9000、加速電圧50kV)を用いて、110μC/cmの露光量にてパターン露光を行った。なお、レチクルとしては、ウエハ上の寸法でライン幅が75nmであり、かつ、ライン:スペース=1:1である6%ハーフトーンマスクを用いた。この際、ブランクス上の寸法でライン幅が50nmであり、かつ、1:1のラインアンドスペースが形成されるように描画を行った。
 その後、120℃で60秒間ベーク(PEB)した。パターン露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させることにより、ピッチ100nmのラインアンドスペースパターンを得た。
 得られたパターンについて、SEM(株式会社日立ハイテク製「CG-4100」)を用いてライン幅の測定を行い、測定データを取得した。
〔パターン欠陥の測定(工程A1、B1、C1及びX1b)〕
<EUV露光>
 上記〔パターン線幅の測定〕<EUV露光>に記載の方法に従って、レジスト組成物R2を用いてシリコンウエハ上にピッチ60nmのラインアンドスペースパターンを形成した。
 得られたパターンについて、ケーエルエーテンコール社製の欠陥検査装置KLA2925を使用して欠陥検査を実施し、シリコンウエハの表面に存在する欠陥の数(欠陥数)を測定した。
<KrF露光>
 上記〔パターン線幅の測定〕<KrF露光>に記載の方法に従って、レジスト組成物R2を用いてシリコンウエハ上にピッチ200nmのラインアンドスペースパターンを形成した。
 得られたパターンについて、ケーエルエーテンコール社製の欠陥検査装置KLA2925を使用して欠陥検査を実施し、シリコンウエハの表面に存在する欠陥の数(欠陥数)を測定した。
<ArF露光>
 上記〔パターン線幅の測定〕<ArF露光>に記載の方法に従って、レジスト組成物R2を用いてシリコンウエハ上にピッチ150nmのラインアンドスペースパターンを形成した。
 得られたパターンについて、ケーエルエーテンコール社製の欠陥検査装置KLA2925を使用して欠陥検査を実施し、シリコンウエハの表面に存在する欠陥の数(欠陥数)を測定した。
〔レジスト膜最小溶解速度(Rmin)の測定(工程A1、B1、C1及びX1c)〕
<EUV露光>
 シリコンウエハ上に、下層膜形成用組成物SHB-A940(信越化学工業株式会社製)を塗布し、205℃で60秒間ベークして、厚み20nmの下層膜を形成した。その上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、ASML社製EUVスキャナーNXE3300(NA0.33)を用いて35mJ/cmの露光量でオープンフレーム露光を行った。その後、120℃で60秒間ベーク(PEB)し、光干渉式膜厚測定装置(「VM-3200(製品名)」、SCREENセミコンダクターソリューションズ社製)を用いてPEB後のレジスト膜の膜厚(FT1)を測定した。
 次いで、露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液に浸漬して30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させた。再び光干渉式膜厚測定装置を用いて、得られたシリコンウエハの現像後レジスト膜の膜厚(FT2)を測定した。
 得られた膜厚FT1及びFT2から、下記式を用いてレジスト膜最小溶解速度(Rmin)を算出し、測定データを取得した。
  式:Rmin=(FT1-FT2)/30 (nm/秒)
<KrF露光>
 シリコンウエハ上に、有機反射防止膜形成用組成物DUV44(Brewer Science社製)を塗布し、塗膜を205℃で60秒間ベークして厚み60nmの下層膜を形成した。その上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、KrFエキシマレーザースキャナー(ASML社製、PAS5500/850)(NA0.80)を用いて110mJ/cmの露光量でオープンフレーム露光を行った。その後、120℃で60秒間ベーク(PEB)し、光干渉式膜厚測定装置を用いてPEB後のレジスト膜の膜厚(FT1)を測定した。
 次いで、露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させた。再び光干渉式膜厚測定装置を用いて、得られたシリコンウエハの現像後レジスト膜の膜厚(FT2)を測定した。
 得られた膜厚FT1及びFT2から、下記式を用いてレジスト膜最小溶解速度(Rmin)を算出し、測定データを取得した。
  式:Rmin=(FT1-FT2)/30 (nm/秒)
<ArF露光>
 シリコンウエハ上に、有機反射防止膜形成用組成物ARC29SR(日産化学株式会社製)を塗布し、塗膜を205℃で60秒間ベークした。これにより、シリコンウエハ上に厚み90nmの下層膜を形成した。その上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 上述の手順により得られたレジスト膜を有するシリコンウエハに対して、ArFエキシマレーザー液浸スキャナー(ASML社製XT1700i、NA1.20)を用いて45mJ/cmの露光量でオープンフレーム露光を行った。その後、120℃で60秒間ベーク(PEB)し、光干渉式膜厚測定装置を用いてPEB後のレジスト膜の膜厚(FT1)を測定した。
 次いで、露光されたレジスト膜を有するシリコンウエハを第1現像液又は第2現像液で30秒間パドル現像した後、レジスト膜を有するシリコンウエハを現像液から取り出して4000rpmの回転数で30秒間回転させた。再び光干渉式膜厚測定装置を用いて、得られたシリコンウエハの現像後レジスト膜の膜厚(FT2)を測定した。
 得られた膜厚FT1及びFT2から、下記式を用いてレジスト膜最小溶解速度(Rmin)を算出し、測定データを取得した。
  式:Rmin=(FT1-FT2)/30 (nm/秒)
〔レジスト膜除去後の基板上の欠陥の測定(工程A2、C2及びX2a)〕
 シリコンウエハ上に、レジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。
 次いで、上記のレジスト膜付きシリコンウエハに第1現像液又は第2現像液を塗布(1mL/Sの流量で10秒間吐出)することで、レジスト膜を除去した。
 上記除去処理後のシリコンウエハに対して、ケーエルエーテンコール社製の暗視野欠陥検査装置SP5を使用して欠陥検査を実施し、シリコンウエハの表面に存在する19nm以上の大きさの欠陥の数(欠陥数)を測定した。
〔レジスト膜最大溶解速度(Rmax)の測定(工程A2、C2及びX2b)〕
 水晶振動子マイクロバランス法(QCM法)を用いてレジスト膜最大溶解速度(Rmax)を測定した。
 より具体的には、QCM電極上にレジスト組成物R2を塗布し、90℃で60秒間ベークして、厚み35nmのレジスト膜を形成した。これにより、レジスト膜を有するQCM電極を作製した。
 次いで、上記のレジスト膜付きQCM電極を、第1現像液又は第2現像液に接触させることで、レジスト膜を除去した。この間、水晶振動子の振動数変化をモニタリングし、現像液の接触開始から振動数変化が一定となるまでに要した時間(T)を測定した。
 測定された時間(T)から、下記式を用いてレジスト膜最大溶解速度(Rmax)を算出し、測定データを取得した。
  式:Rmax=(35)/T (nm/秒)
 上記手法により、全12回分の製造ロットについて、第1現像液並びに第2現像液での各種測定データを取得した。なお、上記に示した測定(各種測定データの取得)は、製造から1日経過後のレジスト組成物を用いて行った。得られた測定データの結果を表16に示す。
Figure JPOXMLDOC01-appb-T000027
[レジスト組成物の判定(工程Y1又はY2)]
 表16に示すように、11番の製造ロットでは、製造から1か月経過後においても第1現像液を用いたときのLWR性能が殆ど変動していないが、12番の製造ロットでは、製造から1か月経過後における第1現像液を用いた時のLWR性能が10%以上劣化した。
 そこで、各実施例及び各比較例において、これら11番及び12番の製造ロットから得られた測定データを判定対象ロットとして、以下の判定を行った。12番の製造ロットのみを不合格と判定した場合、判定方法は妥当であると評価し、11番及び12番の製造ロットを合格と判定した場合、又は、11番及び12番の製造ロットを不合格と判定した場合、判定方法は不当であると評価した。
〔実施例13、比較例13:パターン線幅の測定データを用いた判定(EUV露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、EUV露光により形成されたレジストパターンのパターン線幅の測定データを用いて判定方法1-1~1-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法1-1では初回ロット(ロット1番)の測定データを使用し、判定方法1-2では直前ロットの測定データを使用し、判定方法1-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±5%未満(<±5%)」に設定した。結果を表17にまとめる。
Figure JPOXMLDOC01-appb-T000028
 表17に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例13では、判定方法1-1~1-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例13では、判定方法1-1~1-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例14、比較例14:パターン線幅の測定データを用いた判定(KrF露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、KrF露光により形成されたレジストパターンのパターン線幅の測定データを用いて判定方法2-1~2-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法2-1では初回ロット(ロット1番)の測定データを使用し、判定方法2-2では直前ロットの測定データを使用し、判定方法2-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±5%未満(<±5%)」に設定した。結果を表18にまとめる。
Figure JPOXMLDOC01-appb-T000029
 表18に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例14では、判定方法2-1~2-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例14では、判定方法2-1~2-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例15、比較例15:パターン線幅の測定データを用いた判定(ArF露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、ArF露光により形成されたレジストパターンのパターン線幅の測定データを用いて判定方法3-1~3-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法3-1では初回ロット(ロット1番)の測定データを使用し、判定方法3-2では直前ロットの測定データを使用し、判定方法3-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±5%未満(<±5%)」に設定した。結果を表19にまとめる。
Figure JPOXMLDOC01-appb-T000030
 表19に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例15では、判定方法3-1~3-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例15では、判定方法3-1~3-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例16、比較例16:パターン線幅の測定データを用いた判定(EB露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、EB露光により形成されたレジストパターンのパターン線幅の測定データを用いて判定方法4-1~4-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法4-1では初回ロット(ロット1番)の測定データを使用し、判定方法4-2では直前ロットの測定データを使用し、判定方法4-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±5%未満(<±5%)」に設定した。結果を表20にまとめる。
Figure JPOXMLDOC01-appb-T000031
 表20に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例16では、判定方法4-1~4-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例16では、判定方法4-1~4-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例17、比較例17:パターン欠陥の測定データを用いた判定(EUV露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、EUV露光により形成されたレジストパターンのパターン欠陥の測定データを用いて判定(判定方法5)を行い、判定の妥当性を評価した。
 判定方法5では、許容範囲を「シリコンウエハあたりの欠陥数が100個未満(<100)」に設定した。結果を表21にまとめる。
Figure JPOXMLDOC01-appb-T000032
 表21に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例17では、製造から1か月経過したロット12番のみが不合格と判定されたことから、実施例17の判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例17では、製造から1か月経過したロット12番も合格と判定されたことから、比較例17の判定方法は不当であることが分かった。
〔実施例18、比較例18:パターン欠陥の測定データを用いた判定(ArF露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、ArF露光により形成されたレジストパターンのパターン欠陥の測定データを用いて判定(判定方法6)を行い、判定の妥当性を評価した。
 判定方法6では、許容範囲を「シリコンウエハあたりの欠陥数が100個未満(<100)」に設定した。結果を表22にまとめる。
Figure JPOXMLDOC01-appb-T000033
 表22に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例18では、製造から1か月経過したロット12番のみが不合格と判定されたことから、実施例18の判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例18では、製造から1か月経過したロット12番も合格と判定されたことから、比較例18の判定方法は不当であることが分かった。
〔実施例19、比較例19:パターン欠陥の測定データを用いた判定(KrF露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、KrF露光により形成されたレジストパターンのパターン欠陥の測定データを用いて判定(判定方法7)を行い、判定の妥当性を評価した。
 判定方法7では、許容範囲を「シリコンウエハあたりの欠陥数が100個未満(<100)」に設定した。結果を表23にまとめる。
Figure JPOXMLDOC01-appb-T000034
 表23に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例19では、製造から1か月経過したロット12番のみが不合格と判定されたことから、実施例19の判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例19では、製造から1か月経過したロット12番も合格と判定されたことから、比較例19の判定方法は不当であることが分かった。
〔実施例20、比較例20:Rminの測定データを用いた判定(EUV露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、EUV露光により形成されたレジスト膜の最小溶解速度(Rmin)の測定データを用いて判定方法8-1~8-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法8-1では初回ロット(ロット1番)の測定データを使用し、判定方法8-2では直前ロットの測定データを使用し、判定方法8-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±10%未満(<±10%)」に設定した。結果を表24にまとめる。
Figure JPOXMLDOC01-appb-T000035
 表24に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例20では、判定方法8-1~8-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例20では、判定方法8-1~8-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例21、比較例21:Rminの測定データを用いた判定(KrF露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、KrF露光により形成されたレジスト膜の最小溶解速度(Rmin)の測定データを用いて判定方法9-1~9-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法9-1では初回ロット(ロット1番)の測定データを使用し、判定方法9-2では直前ロットの測定データを使用し、判定方法9-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±10%未満(<±10%)」に設定した。結果を表25にまとめる。
Figure JPOXMLDOC01-appb-T000036
 表25に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例21では、判定方法9-1~9-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例21では、判定方法9-1~9-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例22、比較例22:Rminの測定データを用いた判定(ArF露光)〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、ArF露光により形成されたレジスト膜の最小溶解速度(Rmin)の測定データを用いて判定方法10-1~10-3の各判定を行い、判定の妥当性を評価した。
 基準値として、判定方法10-1では初回ロット(ロット1番)の測定データを使用し、判定方法10-2では直前ロットの測定データを使用し、判定方法10-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±10%未満(<±10%)」に設定した。結果を表26にまとめる。
Figure JPOXMLDOC01-appb-T000037
 表26に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例22では、判定方法10-1~10-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例22では、判定方法10-1~10-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
〔実施例23、比較例23:レジスト膜除去後欠陥の測定データを用いた判定〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、レジスト膜を除去した後のシリコンウエハの表面における欠陥数の測定データを用いて判定(判定方法11)を行い、判定の妥当性を評価した。
 判定方法11では、許容範囲を「シリコンウエハあたりの欠陥数が100個未満(<100)」に設定した。結果を表27にまとめる。
Figure JPOXMLDOC01-appb-T000038
 表27に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例23では、製造から1か月経過したロット12番のみが不合格と判定されたことから、実施例23の判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例23では、製造から1か月経過したロット12番も合格と判定されたことから、比較例23の判定方法は不当であることが分かった。
〔実施例24、比較例24:Rmaxの測定データを用いた判定〕
 表16に示したデータに基づいて、判定対象とするロット11番及びロット12番のレジスト組成物について、形成されたレジスト膜の最大溶解速度(Rmax)の測定データを用いて判定方法12-1~12-3の判定を行い、判定の妥当性を評価した。
 基準値として、判定方法12-1では初回ロット(ロット1番)の測定データを使用し、判定方法12-2では直前ロットの測定データを使用し、判定方法12-3では直前5回分のロットの測定データの平均値(5ロット平均)を使用した。また、各判定方法の許容範囲を「測定データと基準値との差が基準値に対して±10%未満(<±10%)」に設定した。結果を表28にまとめる。
Figure JPOXMLDOC01-appb-T000039
 表28に示す結果から、第2現像液を用いて取得した測定データを用いて判定を行った実施例24では、判定方法12-1~12-3のいずれにおいても、製造から1か月経過したロット12番のみが不合格と判定されたことから、各判定方法は妥当であることが分かった。一方、第1現像液を用いて取得した測定データを用いて判定を行った比較例24では、判定方法12-1~12-3のいずれにおいても、製造から1か月経過したロット12番も合格と判定されたことから、各判定方法は不当であることが分かった。
 上記の実施例及び比較例の結果から、本発明の検査方法によれば、所定期間保存した後のレジスト組成物が有するLWR性能を容易に判定できるという本発明の効果が奏されることが確認された。

Claims (15)

  1.  露光処理及び第1現像液を用いた現像処理を実施してレジストパターンを形成可能なレジスト組成物を検査するレジスト組成物の検査方法であって、
     前記レジスト組成物を用いてレジスト膜を基板上に形成する工程A1と、
     前記工程A1で形成される前記レジスト膜を露光する工程B1と、
     前記工程B1で露光されたレジスト膜に前記第1現像液とは異なる第2現像液を接触させる工程C1と、
     前記工程C1により形成されるレジストパターンの線幅、前記工程C1により形成されるレジストパターンの欠陥数、及び、前記工程C1において測定される前記露光されたレジスト膜の前記第2現像液による溶解速度からなる群から選択される少なくとも1つの測定データを取得する工程X1と、
     前記工程X1で得られた測定データが予め設定された許容範囲に含まれるか否かを判定する工程Y1と、を有し、
     前記レジスト組成物を用いて形成されるレジスト膜の前記第2現像液による溶解速度が、前記レジスト組成物を用いて形成されるレジスト膜の前記第1現像液による溶解速度よりも大きい、レジスト組成物の検査方法。
  2.  前記第1現像液が酢酸ブチル及び炭化水素系溶剤を含む、請求項1に記載のレジスト組成物の検査方法。
  3.  前記炭化水素系溶剤がウンデカンである、請求項2に記載のレジスト組成物の検査方法。
  4.  前記第2現像液が実質的に酢酸ブチルのみを含む、請求項1~3のいずれか1項に記載のレジスト組成物の検査方法。
  5.  前記工程B1において、EUV露光、KrF露光、ArF露光、及び、EB露光からなる群より選択される少なくとも1つを用いて前記レジスト膜を露光する、請求項1~4のいずれか1項に記載のレジスト組成物の検査方法。
  6.  前記レジスト組成物を用いて形成されるレジスト膜の、前記第1現像液による溶解速度に対する前記第2現像液による溶解速度の比率が1.0超100以下である、請求項1~5のいずれか1項に記載のレジスト組成物の検査方法。
  7.  露光処理及び第1現像液を用いた現像処理を実施してレジストパターンを形成可能なレジスト組成物を検査するレジスト組成物の検査方法であって、
     前記レジスト組成物を用いてレジスト膜を基板上に形成する工程A2と、
     前記工程A2で形成されるレジスト膜に前記第1現像液とは異なる第2現像液を接触させる工程C2と、
     前記工程C2により前記レジスト膜が除去された前記基板の表面の欠陥数、及び、前記工程C2において測定される前記レジスト膜の前記第2現像液による溶解速度からなる群から選択される少なくとも1つの測定データを取得する工程X2と、
     前記工程X2で得られた測定データが予め設定された許容範囲に含まれるか否かを判定する工程Y2と、を有し、
     前記レジスト組成物を用いて形成されるレジスト膜の前記第2現像液による溶解速度が、前記レジスト組成物を用いて形成されるレジスト膜の前記第1現像液による溶解速度よりも大きい、レジスト組成物の検査方法。
  8.  前記第1現像液が酢酸ブチル及び炭化水素系溶剤を含む、請求項7に記載のレジスト組成物の検査方法。
  9.  前記炭化水素系溶剤がウンデカンである、請求項8に記載のレジスト組成物の検査方法。
  10.  前記第2現像液が実質的に酢酸ブチルのみを含む、請求項7~9のいずれか1項に記載のレジスト組成物の検査方法。
  11.  前記レジスト組成物を用いて形成されるレジスト膜の、前記第1現像液による溶解速度に対する前記第2現像液による溶解速度の比率が1.0超100以下である、請求項7~10のいずれか1項に記載のレジスト組成物の検査方法。
  12.  前記レジスト組成物が、EUV露光を含む露光処理と、前記第1現像液を用いた現像処理によりレジストパターンを形成可能なレジスト組成物である、請求項1~11のいずれか1項に記載のレジスト組成物の検査方法。
  13.  前記レジスト組成物が、芳香族炭化水素基を有する繰り返し単位を有する樹脂を含む、請求項1~12のいずれか1項に記載のレジスト組成物の検査方法。
  14.  レジスト組成物を調製する組成物調製工程と、
     前記組成物調製工程で調製されるレジスト組成物を、請求項1~13のいずれか1項に記載の検査方法に従って検査する検査工程と、を有する、
     レジスト組成物の製造方法。
  15.  請求項1~13のいずれか1項に記載の検査方法で検査する検査工程を有する製造方法により製造されるレジスト組成物。
PCT/JP2022/027964 2021-08-13 2022-07-19 レジスト組成物の検査方法、レジスト組成物の製造方法、レジスト組成物 WO2023017711A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2023541383A JPWO2023017711A1 (ja) 2021-08-13 2022-07-19
KR1020247004789A KR20240032123A (ko) 2021-08-13 2022-07-19 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021-132049 2021-08-13
JP2021132049 2021-08-13

Publications (1)

Publication Number Publication Date
WO2023017711A1 true WO2023017711A1 (ja) 2023-02-16

Family

ID=85200497

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2022/027964 WO2023017711A1 (ja) 2021-08-13 2022-07-19 レジスト組成物の検査方法、レジスト組成物の製造方法、レジスト組成物

Country Status (4)

Country Link
JP (1) JPWO2023017711A1 (ja)
KR (1) KR20240032123A (ja)
TW (1) TW202314229A (ja)
WO (1) WO2023017711A1 (ja)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008276045A (ja) * 2007-05-02 2008-11-13 Shin Etsu Chem Co Ltd 化学増幅型レジスト組成物の製造方法
JP2012032788A (ja) * 2010-06-30 2012-02-16 Fujifilm Corp パターン形成方法及び該パターン形成方法に用いられる現像液
JP2015084122A (ja) * 2015-01-08 2015-04-30 富士フイルム株式会社 化学増幅型レジスト膜のパターニング用有機系処理液
WO2020013218A1 (ja) * 2018-07-13 2020-01-16 富士フイルム株式会社 薬液、キット、パターン形成方法、薬液の製造方法及び薬液収容体
WO2020105505A1 (ja) * 2018-11-22 2020-05-28 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015036786A (ja) 2013-08-14 2015-02-23 富士フイルム株式会社 パターン形成方法、並びに、これを用いた、電子デバイスの製造方法及び電子デバイス

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008276045A (ja) * 2007-05-02 2008-11-13 Shin Etsu Chem Co Ltd 化学増幅型レジスト組成物の製造方法
JP2012032788A (ja) * 2010-06-30 2012-02-16 Fujifilm Corp パターン形成方法及び該パターン形成方法に用いられる現像液
JP2015084122A (ja) * 2015-01-08 2015-04-30 富士フイルム株式会社 化学増幅型レジスト膜のパターニング用有機系処理液
WO2020013218A1 (ja) * 2018-07-13 2020-01-16 富士フイルム株式会社 薬液、キット、パターン形成方法、薬液の製造方法及び薬液収容体
WO2020105505A1 (ja) * 2018-11-22 2020-05-28 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法

Also Published As

Publication number Publication date
JPWO2023017711A1 (ja) 2023-02-16
KR20240032123A (ko) 2024-03-08
TW202314229A (zh) 2023-04-01

Similar Documents

Publication Publication Date Title
JP7176010B2 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法
JP2005208509A (ja) レジスト組成物
JP6186168B2 (ja) パターン形成方法、及び電子デバイスの製造方法
JP7200267B2 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法
TWI607284B (zh) 圖案剝離方法、電子元件及其製造方法
WO2021070590A1 (ja) 感放射線性樹脂組成物の製造方法、パターン形成方法、電子デバイスの製造方法
JP7300055B2 (ja) 感活性光線性又は感放射線性樹脂組成物の製造方法、パターン形成方法、及び電子デバイスの製造方法
JP7221308B2 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法
CN115349108A (zh) 感光化射线性或感放射线性树脂组合物、感光化射线性或感放射线性膜、图案形成方法及电子器件的制造方法
TW201447484A (zh) 圖案形成方法、電子元件的製造方法及電子元件
JP7262601B2 (ja) 感放射線性樹脂組成物の製造方法、パターン形成方法、電子デバイスの製造方法
JP7266093B2 (ja) 感活性光線性又は感放射線性樹脂組成物の製造方法、パターン形成方法、電子デバイスの製造方法
WO2020261784A1 (ja) 感放射線性樹脂組成物の製造方法
WO2020261753A1 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法、組成物収容体
JP7239695B2 (ja) 感活性光線性又は感放射線性樹脂組成物の精製方法、パターン形成方法、電子デバイスの製造方法
WO2023017711A1 (ja) レジスト組成物の検査方法、レジスト組成物の製造方法、レジスト組成物
TWI588604B (zh) 感光化射線性或感放射線性樹脂組成物、感光化射線性或感放射線性膜、圖案形成方法、電子元件的製造方法及電子元件
JP7310007B2 (ja) 感活性光線性又は感放射線性樹脂組成物の製造方法、パターン形成方法、及び電子デバイスの製造方法
JP7495404B2 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法、組成物収容体
WO2023054004A1 (ja) 感活性光線性又は感放射線性樹脂組成物、レジストパターンの製造方法
WO2022102375A1 (ja) 検査方法、組成物の製造方法、組成物の検定方法
CN118020024A (zh) 感光化射线性或感放射线性树脂组合物、抗蚀剂图案的制造方法
TW201927834A (zh) 感光化射線性或感放射線性樹脂組成物、抗蝕劑膜、圖案形成方法、帶抗蝕劑膜的空白遮罩、光罩的製造方法、電子元件的製造方法
JP4260772B2 (ja) レジスト組成物の評価方法
JP2022142378A (ja) レジスト塗布装置の洗浄方法、レジスト組成物の品質検査方法、レジスト組成物の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22855775

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20247004789

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2023541383

Country of ref document: JP

Ref document number: 1020247004789

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE