WO2021030070A1 - Approche hybride de découpage en dés au moyen d'un procédé de découpage laser à faisceau activement focalisé et d'un procédé de gravure par plasma - Google Patents

Approche hybride de découpage en dés au moyen d'un procédé de découpage laser à faisceau activement focalisé et d'un procédé de gravure par plasma Download PDF

Info

Publication number
WO2021030070A1
WO2021030070A1 PCT/US2020/044330 US2020044330W WO2021030070A1 WO 2021030070 A1 WO2021030070 A1 WO 2021030070A1 US 2020044330 W US2020044330 W US 2020044330W WO 2021030070 A1 WO2021030070 A1 WO 2021030070A1
Authority
WO
WIPO (PCT)
Prior art keywords
laser beam
laser
semiconductor wafer
actively
focused
Prior art date
Application number
PCT/US2020/044330
Other languages
English (en)
Inventor
Karthik Balakrishnan
Jungrae Park
Sriskantharajah Thirunavukarasu
Eng Sheng PEH
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020227008069A priority Critical patent/KR20220041219A/ko
Priority to EP20851884.5A priority patent/EP4014252A4/fr
Priority to JP2022508504A priority patent/JP7402312B2/ja
Priority to CN202080056989.1A priority patent/CN114223056A/zh
Publication of WO2021030070A1 publication Critical patent/WO2021030070A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K10/00Welding or cutting by means of a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/50Working by transmitting the laser beam through or within the workpiece
    • B23K26/53Working by transmitting the laser beam through or within the workpiece for modifying or reforming the material inside the workpiece, e.g. for producing break initiation cracks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Definitions

  • Embodiments of the present disclosure pertain to the field of semiconductor processing and, in particular, to methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits thereon.
  • integrated circuits are formed on a wafer (also referred to as a substrate) composed of silicon or other semiconductor material.
  • a wafer also referred to as a substrate
  • layers of various materials which are either semiconducting, conducting or insulating are utilized to form the integrated circuits. These materials are doped, deposited and etched using various well-known processes to form integrated circuits.
  • Each wafer is processed to form a large number of individual regions containing integrated circuits known as dice.
  • the wafer is "diced" to separate the individual die from one another for packaging or for use in an unpackaged form within larger circuits.
  • the two main techniques that are used for wafer dicing are scribing and sawing.
  • a diamond tipped scribe is moved across the wafer surface along pre-formed scribe lines. These scribe lines extend along the spaces between the dice. These spaces are commonly referred to as “streets.”
  • the diamond scribe forms shallow scratches in the wafer surface along the streets.
  • Scribing can be used for wafers that are about 10 mils (thousandths of an inch) or less in thickness. For thicker wafers, sawing is presently the preferred method for dicing.
  • a diamond tipped saw rotating at high revolutions per minute contacts the wafer surface and saws the wafer along the streets.
  • the wafer is mounted on a supporting member such as an adhesive film stretched across a film frame and the saw is repeatedly applied to both the vertical and horizontal streets.
  • a supporting member such as an adhesive film stretched across a film frame and the saw is repeatedly applied to both the vertical and horizontal streets.
  • chips and gouges can form along the severed edges of the dice.
  • cracks can form and propagate from the edges of the dice into the substrate and render the integrated circuit inoperative. Chipping and cracking are particularly a problem with scribing because only one side of a square or rectangular die can be scribed in the ⁇ 110>direction of the crystalline structure.
  • Plasma dicing has also been used, but may have limitations as well.
  • one limitation hampering implementation of plasma dicing may be cost.
  • a standard lithography operation for patterning resist may render implementation cost prohibitive.
  • Another limitation possibly hampering implementation of plasma dicing is that plasma processing of commonly encountered metals (e.g., copper) in dicing along streets can create production issues or throughput limits.
  • Embodiments of the present disclosure include methods of, and apparatuses for, dicing semiconductor wafers.
  • a method of dicing a semiconductor wafer having a plurality of integrated circuits involves forming a mask above the semiconductor wafer, the mask composed of a layer covering and protecting the integrated circuits.
  • the mask is then patterned with an actively- focused laser beam laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits.
  • the semiconductor wafer is then plasma etched through the gaps in the patterned mask to singulate the integrated circuits.
  • a method of dicing a semiconductor wafer including a plurality of integrated circuits involves laser scribing the semiconductor wafer with an actively-focused laser beam laser scribing process to singulate the integrated circuits.
  • a system for dicing a semiconductor wafer having a plurality of integrated circuits includes a factory interface.
  • the system also includes a laser scribe apparatus coupled with the factory interface and having a laser assembly configured to provide an actively- focused laser beam.
  • the system also includes a plasma etch chamber coupled with the factory interface.
  • Figure 1 is a Flowchart representing operations in a method of dicing a semiconductor wafer including a plurality of integrated circuits, in accordance with an embodiment of the present disclosure.
  • Figure 2A illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, corresponding to operation 102 of the Flowchart of Figure 1, in accordance with an embodiment of the present disclosure.
  • Figure 2B illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, corresponding to operation 104 of the Flowchart of Figure 1, in accordance with an embodiment of the present disclosure.
  • Figure 2C illustrates a cross-sectional view of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, corresponding to operation 108 of the Flowchart of Figure 1, in accordance with an embodiment of the present disclosure.
  • Figure 3 illustrates a cross-sectional view of a non-actively-focused laser beam laser scribing process.
  • Figure 4 illustrates a cross-sectional view of an actively-focused laser beam laser scribing process, in accordance with an embodiment of the present disclosure.
  • Figure 5 illustrates the effects of using a laser pulse width in the femtosecond range, picoseconds range, and nanosecond range, in accordance with an embodiment of the present disclosure.
  • Figure 6 illustrates a cross-sectional view of a stack of materials that may be used in a street region of a semiconductor wafer or substrate, in accordance with an embodiment of the present disclosure.
  • Figures 7A-7D illustrate cross-sectional views of various operations in a method of dicing a semiconductor wafer, in accordance with an embodiment of the present disclosure.
  • Figure 8 illustrates a block diagram of a tool layout for laser and plasma dicing of wafers or substrates, in accordance with an embodiment of the present disclosure.
  • FIG. 9 illustrates a block diagram of an exemplary computer system, in accordance with an embodiment of the present disclosure.
  • a hybrid wafer or substrate dicing process involving an initial laser scribe and subsequent plasma etch may be implemented for die singulation.
  • the laser scribe process may be used to cleanly remove a mask layer, organic and inorganic dielectric layers, and device layers.
  • the laser etch process may then be terminated upon exposure of, or partial etch of, the wafer or substrate.
  • the plasma etch portion of the dicing process may then be employed to etch through the bulk of the wafer or substrate, such as through bulk single crystalline silicon, to yield die or chip singulation or dicing. More specifically, one or more embodiments are directed to implementing an actively-focused laser beam laser scribing process for, e.g., dicing applications.
  • Embodiments described herein may be implemented to provide active laser beam focus control to compensate for chuck variations in a laser dicing process. Embodiments described herein may be implemented to provide active laser beam focus control to compensate for substrate thickness variations in a laser dicing process. Embodiments may be implemented for active laser beam focus control for laser dicing. In an embodiment, active position control of a lens is used to focus a laser beam in a laser dicing process.
  • Advantages to implementing one or more embodiments described herein may include one or more of: (1) increased uniformity of a scribe profile across an entire wafer, (2) lower kerf width, (3) higher wafer yield, and/or (4) increased die density on wafer.
  • approaches described herein can be used to map warpage on a chuck (and/or substrate) and adjust laser focus to compensate warpage in order to achieve uniform scribe lines across warped wafers and/or a warped support surface.
  • Embodiments of the present disclosure can be implemented to actively control a focal position of a laser beam used to dice silicon substrates in a laser dicing process.
  • a topographical map of the silicon substrate chuck is first made by moving the chuck at multiple positions under a fixed high precision laser displacement sensor. The topographical map data is then used to actively control the laser focusing lens position during the scribing.
  • wafers are provided with warpage.
  • a warpage map can instead be generated or can also be generated similarly for every wafer prior to scribing to generate clean and uniform scribe lines on warped wafers.
  • Embodiments may include one or more of active laser focus control, chuck level mapping, or warped wafer mapping.
  • etching profile followed by the opening shape of laser scribing can be determined by the scribe quality, etching rate and profile uniformity can be improved during plasma dicing process if the scribing process involves active laser focus as described herein.
  • a femtosecond laser may be applied to remove the mask and device layers on the dicing street until the silicon substrate is exposed.
  • a plasma etch follows to separate dies to realize die singulation.
  • a non-actively-focused beam is used for the femtosecond laser scribing process.
  • a non-actively-focused beam may limit process flexibility and/or trench profile control.
  • a scribing laser beam is actively-focused for improving laser scribing process in hybrid laser dicing.
  • a combination of an actively-focused laser beam laser scribing process with a plasma etching process may be used to dice a semiconductor wafer into singulated integrated circuits.
  • Figure 1 is a Flowchart 100 representing operations in a method of dicing a semiconductor wafer including a plurality of integrated circuits, in accordance with an embodiment of the present disclosure.
  • Figures 2A-2C illustrate cross-sectional views of a semiconductor wafer including a plurality of integrated circuits during performing of a method of dicing the semiconductor wafer, corresponding to operations of Flowchart 100, in accordance with an embodiment of the present disclosure.
  • a mask 202 is formed above a semiconductor wafer or substrate 204.
  • the mask 202 is composed of a layer covering and protecting integrated circuits 206 formed on the surface of semiconductor wafer 204.
  • the mask 202 also covers intervening streets 207 formed between each of the integrated circuits 206.
  • forming the mask 202 includes forming a layer such as, but not limited to, a photo-resist layer or an I- line patterning layer.
  • a polymer layer such as a photo-resist layer may be composed of a material otherwise suitable for use in a lithographic process.
  • the photo-resist layer is composed of a positive photo-resist material such as, but not limited to, a 248 nanometer (nm) resist, a 193 nm resist, a 157 nm resist, an extreme ultra-violet (EUV) resist, or a phenolic resin matrix with a diazonaphthoquinone sensitizer.
  • the photo-resist layer is composed of a negative photo-resist material such as, but not limited to, poly-cis-isoprene and poly-vinyl- cinnamate.
  • forming the mask 202 involves forming a layer deposited in a plasma deposition process.
  • the mask 202 is composed of a plasma deposited Teflon or Teflon-like (polymeric CF2) layer.
  • the polymeric CF2 layer is deposited in a plasma deposition process involving the gas C4F8.
  • forming the mask 202 involves forming a water-soluble mask layer.
  • the water-soluble mask layer is readily dissolvable in an aqueous media.
  • the water-soluble mask layer is composed of a material that is soluble in one or more of an alkaline solution, an acidic solution, or in deionized water.
  • the water-soluble mask layer maintains its water solubility upon exposure to a heating process, such as heating approximately in the range of 50 - 160 degrees Celsius.
  • the water-soluble mask layer is soluble in aqueous solutions following exposure to chamber conditions used in a laser and plasma etch singulation process.
  • the water-soluble mask layer is composed of a material such as, but not limited to, polyvinyl alcohol, polyacrylic acid, dextran, polymethacrylic acid, polyethylene imine, or polyethylene oxide.
  • the water-soluble mask layer has an etch rate in an aqueous solution approximately in the range of 1 - 15 microns per minute and, more particularly, approximately 1.3 microns per minute.
  • forming the mask 202 involves forming a UV-curable mask layer.
  • the mask layer has a susceptibility to UV light that reduces an adhesiveness of the UV-curable layer by at least approximately 80%.
  • the UV layer is composed of polyvinyl chloride or an acrylic -based material.
  • the UV-curable layer is composed of a material or stack of materials with an adhesive property that weakens upon exposure to UV light.
  • the UV-curable adhesive film is sensitive to approximately 365nm UV light. In one such embodiment, this sensitivity enables use of LED light to perform a cure.
  • semiconductor wafer or substrate 204 is composed of a material suitable to withstand a fabrication process and upon which semiconductor processing layers may suitably be disposed.
  • semiconductor wafer or substrate 204 is composed of a group IV-based material such as, but not limited to, crystalline silicon, germanium or silicon/germanium.
  • providing semiconductor wafer 204 includes providing a monocrystalline silicon substrate.
  • the monocrystalline silicon substrate is doped with impurity atoms.
  • semiconductor wafer or substrate 204 is composed of a III-V material such as, e.g., a III-V material substrate used in the fabrication of light emitting diodes (LEDs).
  • LEDs light emitting diodes
  • semiconductor wafer or substrate 204 has disposed thereon or therein, as a portion of the integrated circuits 206, an array of semiconductor devices.
  • semiconductor devices include, but are not limited to, memory devices or complimentary metal- oxide-semiconductor (CMOS) transistors fabricated in a silicon substrate and encased in a dielectric layer.
  • CMOS complimentary metal- oxide-semiconductor
  • a plurality of metal interconnects may be formed above the devices or transistors, and in surrounding dielectric layers, and may be used to electrically couple the devices or transistors to form the integrated circuits 206.
  • Materials making up the streets 207 may be similar to or the same as those materials used to form the integrated circuits 206.
  • streets 207 may be composed of layers of dielectric materials, semiconductor materials, and metallization.
  • one or more of the streets 207 includes test devices similar to the actual devices of the integrated circuits 206.
  • the mask 202 is patterned with an actively- focused laser beam laser scribing process to provide a patterned mask 208 with gaps 210, exposing regions of the semiconductor wafer or substrate 204 between the integrated circuits 206.
  • the laser scribing process is used to remove the material of the streets 207 originally formed between the integrated circuits 206.
  • patterning the mask 202 with the actively-focused laser beam laser scribing process includes forming trenches 212 partially into the regions of the semiconductor wafer 204 between the integrated circuits 206, as depicted in Figure 2B.
  • Figure 3 illustrates a cross-sectional view of a non-actively-focused laser beam laser scribing process.
  • a chuck 300 has a substrate 302 thereon.
  • Laser optics 304 are positioned over the substrate 302.
  • a laser beam 306A is provided from laser optics 304 and impinges at a surface location 308A of substrate 302.
  • the laser beam 306A is out of focus at position 308A due to undulation on chuck surface 300, e.g., either as non-uniform topography of substrate 302 or of chuck 300, in this case as a trough or low point.
  • a laser beam 306B is provided from laser optics 304 and impinges at a surface location 308B of substrate 302.
  • the laser beam 306B is in focus at position 308B since position 308B happens to be the location targeted for focused impingement of a laser beam (e.g., 306B) from laser optics 304.
  • a laser beam 306C is provided from laser optics 304 and impinges at a surface location 308C of substrate 302.
  • the laser beam 306C is out of focus at position 308C due to undulation on chuck surface 300, e.g., either as non-uniform topography of substrate 302 or of chuck 300, in this case as a crest or high point.
  • a wafer 302 or chuck 300 top surface has some undulation causing a laser from optics 304 to go out of focus in multiple locations if focusing is performed only at one point (it is to be appreciated that undulation can be derived from chuck warpage and/or wafer warpage).
  • Figure 4 illustrates a cross-sectional view of an actively-focused laser beam laser scribing process, in accordance with an embodiment of the present disclosure.
  • a chuck 400 has a substrate 402 thereon.
  • Laser optics 404 are positioned over the substrate 402.
  • a laser beam 406A is provided from laser optics 404 and impinges at a surface location 408A of substrate 402.
  • the laser beam 406A is in focus at position 408A even though there is undulation on chuck surface 400, e.g., either as non-uniform topography of substrate 402 or of chuck 400, in this case as a trough or low point.
  • the laser is in focus because the laser optics are positioned at a relatively lowered location over the substrate 402 to match the trough or low point.
  • a laser beam 406B is provided from laser optics 404 and impinges at a surface location 408B of substrate 402.
  • the laser beam 406B is in focus at position 408B since position 308B happens to be the location targeted for focused impingement (nominal position) of a laser beam (e.g., 406B) from laser optics 404.
  • a laser beam 406C is provided from laser optics 404 and impinges at a surface location 408C of substrate 402.
  • the laser beam 406C is in focus at position 408C even though there is undulation on chuck surface 400, e.g., either as non-uniform topography of substrate 402 or of chuck 400, in this case as a crest or high point.
  • the laser is in focus because the laser optics are positioned at a relatively raised location over the substrate 402 to match the crest or high point.
  • a wafer 402 or chuck 400 top surface has some undulation (it is to be appreciated that undulation can be derived from chuck warpage and/or wafer warpage).
  • a laser focus lens position is adjusted to ensure that a laser is actively focused on undulated locations of a surface of a wafer.
  • an actively-focused laser beam laser scribing process involves lowering a laser beam over a trough position (e.g., position 408A) of a semiconductor wafer 402.
  • an actively-focused laser beam laser scribing process involves raising a laser beam over a crest position (e.g., position 408C) of a semiconductor wafer 402.
  • an actively-focused laser beam laser scribing process involves pre-mapping a topography of a semiconductor wafer 402 or a topography of a chuck 400 for supporting the semiconductor wafer 402, or both.
  • an actively- focused laser beam laser scribing process involves using a Gaussian source laser beam. In one such embodiment, the actively-focused laser beam laser scribing process involves using a femto-second source laser beam. 7 In an embodiment, scribing with an actively-focused laser beam laser scribing process involves scribing with an actively- focused femto-second based laser beam.
  • a femtosecond-based laser is used as a source for an actively-focused laser beam scribing process.
  • a laser with a wavelength in the visible spectrum plus the ultra-violet (UV) and infra-red (IR) ranges (totaling a broadband optical spectrum) is used to provide a femtosecond-based laser pulse, which has a pulse width on the order of the femtosecond (10 15 seconds).
  • ablation is not, or is essentially not, wavelength dependent and is thus suitable for complex films such as films of the mask 202, the streets 207 and, possibly, a portion of the semiconductor wafer or substrate 204.
  • Figure 5 illustrates the effects of using a laser pulse width in the femtosecond range, picosecond range, and nanosecond range, in accordance with an embodiment of the present disclosure.
  • heat damage issues are mitigated or eliminated (e.g., minimal to no damage 502C with femtosecond processing of a via 500C) versus longer pulse widths (e.g., significant damage 502A with nanosecond processing of a via 500A).
  • the elimination or mitigation of damage during formation of via 500C may be due to a lack of low energy recoupling (as is seen for picosecond-based laser ablation of 500B/502B) or thermal equilibrium (as is seen for nanosecond-based laser ablation), as depicted in Figure 5.
  • Laser parameters selection such as beam profile, may be critical to developing a successful laser scribing and dicing process that minimizes chipping, microcracks and del ami nation in order to achieve clean laser scribe cuts. The cleaner the laser scribe cut, the smoother an etch process that may be performed for ultimate die singulation.
  • semiconductor device wafers many functional layers of different material types (e.g., conductors, insulators, semiconductors) and thicknesses are typically disposed thereon.
  • Such materials may include, but are not limited to, organic materials such as polymers, metals, or inorganic dielectrics such as silicon dioxide and silicon nitride.
  • a street between individual integrated circuits disposed on a wafer or substrate may include the similar or same layers as the integrated circuits themselves.
  • Figure 6 illustrates a cross-sectional view of a stack of materials that may be used in a street region of a semiconductor wafer or substrate, in accordance with an embodiment of the present disclosure.
  • a street region 600 includes the top portion 602 of a silicon substrate, a first silicon dioxide layer 604, a first etch stop layer 606, a first low K dielectric layer 608 (e.g., having a dielectric constant of less than the dielectric constant of 4.0 for silicon dioxide), a second etch stop layer 610, a second low K dielectric layer 612, a third etch stop layer 614, an undoped silica glass (USG) layer 616, a second silicon dioxide layer 618, and a layer of photo resist 620, with relative thicknesses depicted.
  • Copper metallization 622 is disposed between the first and third etch stop layers 606 and 614 and through the second etch stop layer 610.
  • the first, second and third etch stop layers 606, 610 and 614 are composed of silicon nitride
  • low K dielectric layers 608 and 612 are composed of a carbon-doped silicon oxide material.
  • the materials of street 600 behave quite differently in terms of optical absorption and ablation mechanisms.
  • dielectrics layers such as silicon dioxide
  • metals, organics (e.g., low K materials) and silicon can couple photons very easily, particularly in response to nanosecond- based irradiation.
  • an actively-focused laser beam laser scribing process is used to pattern a layer of silicon dioxide, a layer of low K material, and a layer of copper by ablating the layer of silicon dioxide prior to ablating the layer of low K material and the layer of copper.
  • suitable femtosecond-based laser processes are characterized by a high peak intensity (irradiance) that usually leads to nonlinear interactions in various materials.
  • the femtosecond laser sources have a pulse width approximately in the range of 10 femtoseconds to 500 femtoseconds, although preferably in the range of 100 femtoseconds to 400 femtoseconds.
  • the femtosecond laser sources have a wavelength approximately in the range of 1570 nanometers to 200 nanometers, although preferably in the range of 540 nanometers to 250 nanometers.
  • the laser and corresponding optical system provide a focal spot at the work surface approximately in the range of 3 microns to 15 microns, though preferably approximately in the range of 5 microns to 10 microns or between 10 - 15 microns.
  • the laser source has a pulse repetition rate approximately in the range of 200 kHz to 10 MHz, although preferably approximately in the range of 500kHz to 5MHz.
  • the laser source delivers pulse energy at the work surface approximately in the range of 0.5 uJ to 100 uJ, although preferably approximately in the range of luJ to 5uJ.
  • the laser scribing process runs along a work piece surface at a speed approximately in the range of 500mm/sec to 5m/sec, although preferably approximately in the range of 600mm /sec to 2m/sec.
  • the scribing process may be ran in single pass only, or in multiple passes, but, in an embodiment, preferably 1-2 passes.
  • the scribing depth in the work piece is approximately in the range of 5 microns to 50 microns deep, preferably approximately in the range of 10 microns to 20 microns deep.
  • the kerf width of the laser beam generated is approximately in the range of 2 microns to 15 microns, although in silicon wafer scribing/dicing preferably approximately in the range of 6 microns to 10 microns, measured at the device/silicon interface.
  • Laser parameters may be selected with benefits and advantages such as providing sufficiently high laser intensity to achieve ionization of inorganic dielectrics (e.g., silicon dioxide) and to minimize delamination and chipping caused by underlayer damage prior to direct ablation of inorganic dielectrics. Also, parameters may be selected to provide meaningful process throughput for industrial applications with precisely controlled ablation width (e.g., kerf width) and depth. In an embodiment, an actively-focused laser beam laser scribing process is suitable to provide such advantages.
  • inorganic dielectrics e.g., silicon dioxide
  • parameters may be selected to provide meaningful process throughput for industrial applications with precisely controlled ablation width (e.g., kerf width) and depth.
  • an actively-focused laser beam laser scribing process is suitable to provide such advantages.
  • the dicing or singulation process could be stopped after the above described laser scribing in a case that the laser scribing is used to pattern the mask as well as to scribe fully through the wafer or substrate in order to singulate the dies. Accordingly, further singulation processing would not be required in such a case.
  • the following embodiments may be considered in cases where laser scribing alone is not implemented for total singulation.
  • the post mask-opening cleaning operation is a plasma-based cleaning process.
  • the plasma-based cleaning process is reactive to the regions of the substrate 204 exposed by the gaps 210.
  • the cleaning process itself may form or extend trenches 212 in the substrate 204 since the reactive plasma-based cleaning operation is at least somewhat of an etchant for the substrate 204.
  • the plasma-based cleaning process is non-reactive to the regions of the substrate 204 exposed by the gaps 210.
  • the plasma-based cleaning process is reactive to exposed regions of the substrate 204 in that the exposed regions are partially etched during the cleaning process.
  • Ar or another non-reactive gas (or the mix) is combined with SFe for a highly-biased plasma treatment for cleaning of scribed openings.
  • the plasma treatment using mixed gases Ar +SF 6 under high-bias power is performed for bombarding mask-opened regions to achieve cleaning of the mask-opened regions.
  • both physical bombardment from Ar and SF 6 along with chemical etching due to SF 6 and F-ions contribute to cleaning of mask-opened regions.
  • the approach may be suitable for photoresist or plasma-deposited Teflon masks 202, where breakthrough treatment leads to fairly uniform mask thickness reduction and a gentle Si etch. Such a breakthrough etch process, however, may not be best suited for water soluble mask materials.
  • the plasma-based cleaning process is non-reactive to exposed regions of the substrate 204 in that the exposed regions are not or only negligible etched during the cleaning process.
  • only non-reactive gas plasma cleaning is used.
  • Ar or another non-reactive gas (or the mix) is used to perform a highly- biased plasma treatment both for mask condensation and cleaning of scribed openings.
  • the approach may be suitable for water-soluble masks or for thinner plasma-deposited Teflon 202.
  • separate mask condensation and scribed trench cleaning operations are used, e.g., an Ar or non-reactive gas (or the mix) highly-biased plasma treatment for mask condensation is first performed, and then an Ar +SF 6 plasma cleaning of a laser scribed trench is performed.
  • This embodiment may be suitable for cases where Ar-cleaning is not sufficient for trench cleaning due to too thick of a mask material. Cleaning efficiency is improved for thinner masks, but mask etch rate is much lower, with almost no consumption in a subsequent deep silicon etch process.
  • three-operation cleaning is performed: (a) Ar or non-reactive gas (or the mix) highly-biased plasma treatment for mask condensation, (b)
  • a plasma cleaning operation involves first use of a reactive plasma cleaning treatment, such as described above in the first aspect of operation 106. The reactive plasma cleaning treatment is then followed by a non-reactive plasma cleaning treatment such as described in association with the second aspect of operation 106.
  • etching the semiconductor wafer 204 includes ultimately etching entirely through semiconductor wafer 204, as depicted in Figure 2C, by etching the trenches 212 initially formed with the actively- focused laser beam laser scribing process.
  • patterning the mask with the laser scribing process involves forming trenches in the regions of the semiconductor wafer between the integrated circuits, and plasma etching the semiconductor wafer involves extending the trenches to form corresponding trench extensions.
  • each of the trenches has a width
  • each of the corresponding trench extensions has the width
  • the resulting roughness of mask opening from laser scribing can impact die sidewall quality resulting from the subsequent formation of a plasma etched trench.
  • Lithographically opened masks often have smooth profiles, leading to smooth corresponding sidewalls of a plasma etched trench.
  • a conventional laser opened mask can have a very rough profile along a scribing direction if improper laser process parameters are selected (such as spot overlap, leading to rough sidewall of plasma etched trench horizontally).
  • the surface roughness can be smoothened by additional plasma processes, there is a cost and throughput hit to remedying such issues. Accordingly, embodiments described herein may be advantageous in providing a smoother scribing process and/or more reliable trench formation process from the laser scribing portion of the singulation process.
  • etching the semiconductor wafer 204 includes using a plasma etching process.
  • a through- silicon via type etch process is used.
  • the etch rate of the material of semiconductor wafer 204 is greater than 25 microns per minute.
  • An ultra-high-density plasma source may be used for the plasma etching portion of the die singulation process.
  • An example of a process chamber suitable to perform such a plasma etch process is the Applied Centura® SilviaTM Etch system available from Applied Materials of Sunnyvale, CA, USA.
  • the Applied Centura® SilviaTM Etch system combines the capacitive and inductive RF coupling , which gives much more independent control of the ion density and ion energy than was possible with the capacitive coupling only, even with the improvements provided by magnetic enhancement.
  • This combination enables effective decoupling of the ion density from ion energy, so as to achieve relatively high density plasmas without the high, potentially damaging, DC bias levels, even at very low pressures. This results in an exceptionally wide process window.
  • any plasma etch chamber capable of etching silicon may be used.
  • a deep silicon etch is used to etch a single crystalline silicon substrate or wafer 204 at an etch rate greater than approximately 40% of conventional silicon etch rates while maintaining essentially precise profile control and virtually scallop-free sidewalls.
  • a through-silicon via type etch process is used. The etch process is based on a plasma generated from a reactive gas, which generally a fluorine- based gas such as SF 6 , C4 Fs, CHF3, XeF2, or any other reactant gas capable of etching silicon at a relatively fast etch rate.
  • the mask layer 208 is removed after the singulation process, as depicted in Figure 2C.
  • the plasma etching operation described in association with Figure 2C employs a conventional Bosch-type dep/etch/dep process to etch through the substrate 204.
  • a Bosch-type process consists of three sub operations: deposition, a directional bombardment etch, and isotropic chemical etch which is run through many iterations (cycles) until silicon is etched through.
  • wafer dicing may be preformed by initial ablation using an actively-focused laser beam laser scribing process to ablate through a mask layer, through wafer streets (including metallization), and partially into a silicon substrate. Die singulation may then be completed by subsequent through-silicon deep plasma etching.
  • a specific example of a materials stack for dicing is described below in association with Figures 7A-7D, in accordance with an embodiment of the present disclosure.
  • a materials stack for hybrid laser ablation and plasma etch dicing includes a mask layer 702, a device layer 704, and a substrate 706.
  • the mask layer, device layer, and substrate are disposed above a die attach film 708 which is affixed to a backing tape 710.
  • the mask layer 702 is a water soluble layer such as the water soluble layers described above in association with mask 202.
  • the device layer 704 includes an inorganic dielectric layer (such as silicon dioxide) disposed above one or more metal layers (such as copper layers) and one or more low K dielectric layers (such as carbon-doped oxide layers).
  • the device layer 704 also includes streets arranged between integrated circuits, the streets including the same or similar layers to the integrated circuits.
  • the substrate 706 is a bulk single-crystalline silicon substrate.
  • the bulk single-crystalline silicon substrate 706 is thinned from the backside prior to being affixed to the die attach film 708.
  • the thinning may be performed by a backside grind process.
  • the bulk single-crystalline silicon substrate 706 is thinned to a thickness approximately in the range of 50 - 100 microns. It is important to note that, in an embodiment, the thinning is performed prior to a laser ablation and plasma etch dicing process.
  • the photo-resist layer 702 has a thickness of approximately 5 microns and the device layer 704 has a thickness approximately in the range of 2 - 3 microns.
  • the die attach film 708 (or any suitable substitute capable of bonding a thinned or thin wafer or substrate to the backing tape 710) has a thickness of approximately 20 microns. Referring to Figure 7B, the mask 702, the device layer 704 and a portion of the substrate 706 are patterned with an actively-focused laser beam laser scribing process 712 to form trenches 714 in the substrate 706.
  • a through-silicon deep plasma etch process 716 is used to extend the trench 714 down to the die attach film 708, exposing the top portion of the die attach film 708 and singulating the silicon substrate 706.
  • the device layer 704 is protected by the mask layer 702 during the through-silicon deep plasma etch process 716.
  • the singulation process may further include patterning the die attach film 708, exposing the top portion of the backing tape 710 and singulating the die attach film 708.
  • the die attach film is singulated by a laser process or by an etch process. Further embodiments may include subsequently removing the singulated portions of substrate 706 (e.g., as individual integrated circuits) from the backing tape 710. In one embodiment, the singulated die attach film 708 is retained on the back sides of the singulated portions of substrate 706.
  • Other embodiments may include removing the mask layer 702 from the device layer 704.
  • the actively-focused laser beam laser scribing process 712 is used to completely singulate substrate 706 without the use of an additional plasma process.
  • a single process tool may be configured to perform many or all of the operations in an actively- focused laser beam ablation and plasma etch singulation process.
  • Figure 8 illustrates a block diagram of a tool layout for laser and plasma dicing of wafers or substrates, in accordance with an embodiment of the present disclosure.
  • a process tool 800 includes a factory interface 802 (FI) having a plurality of load locks 804 coupled therewith.
  • a cluster tool 806 is coupled with the factory interface 802.
  • the cluster tool 806 includes one or more plasma etch chambers, such as plasma etch chamber 808.
  • a laser scribe apparatus 810 is also coupled to the factory interface 802.
  • the overall footprint of the process tool 800 may be, in one embodiment, approximately 3500 millimeters (3.5 meters) by approximately 3800 millimeters (3.8 meters), as depicted in Figure 8.
  • the laser scribe apparatus 810 houses a laser assembly configured to provide an actively-focused laser beam.
  • the laser assembly is configured to provide an actively- focused laser beam at a lowered position over a trough position of a semiconductor wafer.
  • the laser assembly is configured to provide an actively-focused laser beam at a raised position over a crest position of a semiconductor wafer.
  • the laser scribe apparatus 810 is configured to pre-map a topography of a semiconductor wafer or a topography of a chuck for supporting a semiconductor wafer, or both.
  • the laser assembly includes a Gaussian source laser beam.
  • the laser assembly includes a femto-second source laser beam.
  • the laser is suitable for performing a laser ablation portion of a hybrid laser and etch singulation process, such as the laser ablation processes described above.
  • a moveable stage is also included in laser scribe apparatus 810, the moveable stage configured for moving a wafer or substrate (or a carrier thereof) relative to the laser.
  • the laser is also moveable.
  • the overall footprint of the laser scribe apparatus 810 may be, in one embodiment, approximately 2240 millimeters by approximately 1270 millimeters, as depicted in Figure 8.
  • the one or more plasma etch chambers 808 is configured for etching a wafer or substrate through the gaps in a patterned mask to singulate a plurality of integrated circuits.
  • the one or more plasma etch chambers 808 is configured to perform a deep silicon etch process.
  • the one or more plasma etch chambers 808 is an Applied Centura® SilviaTM Etch system, available from Applied Materials of Sunnyvale, CA, USA.
  • the etch chamber may be specifically designed for a deep silicon etch used to create singulate integrated circuits housed on or in single crystalline silicon substrates or wafers.
  • a high-density plasma source is included in the plasma etch chamber 808 to facilitate high silicon etch rates.
  • more than one etch chamber is included in the cluster tool 806 portion of process tool 800 to enable high manufacturing throughput of the singulation or dicing process.
  • the factory interface 802 may be a suitable atmospheric port to interface between an outside manufacturing facility with laser scribe apparatus 810 and cluster tool 806.
  • the factory interface 802 may include robots with arms or blades for transferring wafers (or carriers thereof) from storage units (such as front opening unified pods) into either cluster tool 806 or laser scribe apparatus 810, or both.
  • Cluster tool 806 may include other chambers suitable for performing functions in a method of singulation.
  • a deposition chamber 812 in place of an additional etch chamber, is included.
  • the deposition chamber 812 may be configured for mask deposition on or above a device layer of a wafer or substrate prior to laser scribing of the wafer or substrate.
  • the deposition chamber 812 is suitable for depositing a photo-resist layer.
  • a wet/dry station 814 is included in place of an additional etch chamber. The wet/dry station may be suitable for cleaning residues and fragments, or for removing a mask, subsequent to a laser scribe and plasma etch singulation process of a substrate or wafer.
  • a plasma etch chamber in place of an additional deep silicon etch chamber, is included and is configured for performing a plasma-based cleaning process.
  • a metrology station is also included as a component of process tool 800.
  • Embodiments of the present disclosure may be provided as a computer program product, or software, that may include a machine-readable medium having stored thereon instructions, which may be used to program a computer system (or other electronic devices) to perform a process according to embodiments of the present disclosure.
  • the computer system is coupled with process tool 800 described in association with Figure 8.
  • a machine-readable medium includes any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer).
  • a machine-readable (e.g., computer-readable) medium includes a machine (e.g., a computer) readable storage medium (e.g., read only memory (“ROM”), random access memory (“RAM”), magnetic disk storage media, optical storage media, flash memory devices, etc.), a machine (e.g., computer) readable transmission medium (electrical, optical, acoustical or other form of propagated signals (e.g., infrared signals, digital signals, etc.)), etc.
  • Figure 9 illustrates a diagrammatic representation of a machine in the exemplary form of a computer system 900 within which a set of instructions, for causing the machine to perform any one or more of the methodologies described herein, may be executed.
  • the machine may be connected (e.g., networked) to other machines in a Focal Area Network (FAN), an intranet, an extranet, or the Internet.
  • FAN Focal Area Network
  • the machine may operate in the capacity of a server or a client machine in a client-server network environment, or as a peer machine in a peer-to-peer (or distributed) network environment.
  • the machine may be a personal computer (PC), a tablet PC, a set-top box (STB), a Personal Digital Assistant (PDA), a cellular telephone, a web appliance, a server, a network router, switch or bridge, or any machine capable of executing a set of instructions (sequential or otherwise) that specify actions to be taken by that machine.
  • PC personal computer
  • PDA Personal Digital Assistant
  • STB set-top box
  • WPA Personal Digital Assistant
  • the exemplary computer system 900 includes a processor 902, a main memory 904 (e.g., read only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.), a static memory 906 (e.g., flash memory, static random access memory (SRAM), MRAM, etc.), and a secondary memory 918 (e.g., a data storage device), which communicate with each other via a bus 930.
  • main memory 904 e.g., read only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.
  • DRAM dynamic random access memory
  • SDRAM synchronous DRAM
  • RDRAM Rambus DRAM
  • static memory 906 e.g., flash memory, static random access memory (SRAM), MRAM, etc.
  • secondary memory 918 e.g., a data storage device
  • Processor 902 represents one or more general-purpose processing devices such as a microprocessor, central processing unit, or the like. More particularly, the processor 902 may be a complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VFIW) microprocessor, processor implementing other instruction sets, or processors implementing a combination of instruction sets. Processor 902 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. Processor 902 is configured to execute the processing logic 926 for performing the operations described herein.
  • ASIC application specific integrated circuit
  • FPGA field programmable gate array
  • DSP digital signal processor
  • the computer system 900 may further include a network interface device 908.
  • the computer system 900 also may include a video display unit 910 (e.g., a liquid crystal display (LCD), a light emitting diode display (LED), or a cathode ray tube (CRT)), an alphanumeric input device 912 (e.g., a keyboard), a cursor control device 914 (e.g., a mouse), and a signal generation device 916 (e.g., a speaker).
  • a video display unit 910 e.g., a liquid crystal display (LCD), a light emitting diode display (LED), or a cathode ray tube (CRT)
  • an alphanumeric input device 912 e.g., a keyboard
  • a cursor control device 914 e.g., a mouse
  • a signal generation device 916 e.g., a speaker
  • the secondary memory 918 may include a machine- accessible storage medium (or more specifically a computer-readable storage medium) 932 on which is stored one or more sets of instructions (e.g., software 922) embodying any one or more of the methodologies or functions described herein.
  • the software 922 may also reside, completely or at least partially, within the main memory 904 and/or within the processor 902 during execution thereof by the computer system 900, the main memory 904 and the processor 902 also constituting machine-readable storage media.
  • the software 922 may further be transmitted or received over a network 920 via the network interface device 908.
  • machine-accessible storage medium 932 is shown in an exemplary embodiment to be a single medium, the term “machine-readable storage medium” should be taken to include a single medium or multiple media (e.g., a centralized or distributed database, and/or associated caches and servers) that store the one or more sets of instructions.
  • the term “machine-readable storage medium” shall also be taken to include any medium that is capable of storing or encoding a set of instructions for execution by the machine and that cause the machine to perform any one or more of the methodologies of the present disclosure.
  • the term “machine-readable storage medium” shall accordingly be taken to include, but not be limited to, solid-state memories, and optical and magnetic media.
  • a machine- accessible storage medium has instructions stored thereon which cause a data processing system to perform a method of dicing a semiconductor wafer having a plurality of integrated circuits.
  • the method includes forming a mask above the semiconductor wafer, the mask composed of a layer covering and protecting the integrated circuits.
  • the mask is then patterned with an actively-focused laser beam laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits.
  • the semiconductor wafer is then plasma etched through the gaps in the patterned mask to singulate the integrated circuits.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Laser Beam Processing (AREA)
  • Dicing (AREA)

Abstract

L'invention concerne des procédés de découpage en dés de tranches de semi-conducteurs, chaque tranche ayant une pluralité de circuits intégrés. Dans un exemple, un procédé de découpage en dés d'une tranche de semi-conducteur ayant une pluralité de circuits intégrés consiste à former un masque au-dessus de la tranche de semi-conducteur, le masque comportant une couche qui recouvre et protège les circuits intégrés. Des motifs sont alors gravés sur le masque au moyen d'un procédé de découpage laser à faisceau activement focalisé afin d'obtenir un masque à motifs avec des espaces, exposant des régions de la tranche de semi-conducteur entre les circuits intégrés. La tranche de semi-conducteur est ensuite gravée par plasma à travers les espaces du masque à motifs afin de distinguer les circuits intégrés.
PCT/US2020/044330 2019-08-13 2020-07-30 Approche hybride de découpage en dés au moyen d'un procédé de découpage laser à faisceau activement focalisé et d'un procédé de gravure par plasma WO2021030070A1 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020227008069A KR20220041219A (ko) 2019-08-13 2020-07-30 능동 초점 레이저 빔 레이저 스크라이빙 프로세스 및 플라즈마 에칭 프로세스를 사용한 하이브리드 웨이퍼 다이싱 접근 방식
EP20851884.5A EP4014252A4 (fr) 2019-08-13 2020-07-30 Approche hybride de découpage en dés au moyen d'un procédé de découpage laser à faisceau activement focalisé et d'un procédé de gravure par plasma
JP2022508504A JP7402312B2 (ja) 2019-08-13 2020-07-30 能動的に焦点を合わせるレーザビームのレーザスクライビング処理およびプラズマエッチング処理を用いるハイブリッドウエハダイシング手法
CN202080056989.1A CN114223056A (zh) 2019-08-13 2020-07-30 利用主动聚焦激光束激光划刻工艺和等离子体蚀刻工艺的混合式晶片切割方案

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/539,828 2019-08-13
US16/539,828 US11342226B2 (en) 2019-08-13 2019-08-13 Hybrid wafer dicing approach using an actively-focused laser beam laser scribing process and plasma etch process

Publications (1)

Publication Number Publication Date
WO2021030070A1 true WO2021030070A1 (fr) 2021-02-18

Family

ID=74566949

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2020/044330 WO2021030070A1 (fr) 2019-08-13 2020-07-30 Approche hybride de découpage en dés au moyen d'un procédé de découpage laser à faisceau activement focalisé et d'un procédé de gravure par plasma

Country Status (7)

Country Link
US (2) US11342226B2 (fr)
EP (1) EP4014252A4 (fr)
JP (1) JP7402312B2 (fr)
KR (1) KR20220041219A (fr)
CN (1) CN114223056A (fr)
TW (2) TWI807207B (fr)
WO (1) WO2021030070A1 (fr)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230045661A (ko) 2021-09-27 2023-04-05 삼성전자주식회사 반도체 패키지의 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030039896A1 (en) * 2001-07-26 2003-02-27 Seiko Epson Corporation Exposure device, exposure method, semiconductor device manufacturing method, electro-optical device, and electronic appliance
JP2007280992A (ja) * 2006-04-03 2007-10-25 Seiko Epson Corp 記録方法、ホログラム露光方法、半導体の製造方法および電気光学装置の製造方法
US20100044358A1 (en) * 2008-08-25 2010-02-25 Disco Corporation Laser processing apparatus and laser processing method
US20150037915A1 (en) 2013-07-31 2015-02-05 Wei-Sheng Lei Method and system for laser focus plane determination in a laser scribing process
US20150104929A1 (en) * 2013-10-10 2015-04-16 Wei-Sheng Lei Method and apparatus for dicing wafers having thick passivation polymer layer
US20190067049A1 (en) * 2017-08-23 2019-02-28 Asm Technology Singapore Pte Ltd Radiative wafer cutting using selective focusing depths

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4049944A (en) 1973-02-28 1977-09-20 Hughes Aircraft Company Process for fabricating small geometry semiconductive devices including integrated components
US4339528A (en) 1981-05-19 1982-07-13 Rca Corporation Etching method using a hardened PVA stencil
US4684437A (en) 1985-10-31 1987-08-04 International Business Machines Corporation Selective metal etching in metal/polymer structures
KR100215338B1 (ko) 1991-03-06 1999-08-16 가나이 쓰도무 반도체 장치의 제조방법
EP0609809B8 (fr) 1993-02-01 2001-11-21 Canon Kabushiki Kaisha Dispositif d'affichage à cristal liquide
US5593606A (en) 1994-07-18 1997-01-14 Electro Scientific Industries, Inc. Ultraviolet laser system and method for forming vias in multi-layered targets
JPH09216085A (ja) 1996-02-07 1997-08-19 Canon Inc 基板の切断方法及び切断装置
EP0822582B1 (fr) 1996-08-01 2003-10-01 Surface Technology Systems Plc Procédé de gravure de substrats
US6426484B1 (en) 1996-09-10 2002-07-30 Micron Technology, Inc. Circuit and method for heating an adhesive to package or rework a semiconductor die
US5920973A (en) 1997-03-09 1999-07-13 Electro Scientific Industries, Inc. Hole forming system with multiple spindles per station
JP3230572B2 (ja) 1997-05-19 2001-11-19 日亜化学工業株式会社 窒化物系化合物半導体素子の製造方法及び半導体発光素子
US6057180A (en) 1998-06-05 2000-05-02 Electro Scientific Industries, Inc. Method of severing electrically conductive links with ultraviolet laser output
JP2001044144A (ja) 1999-08-03 2001-02-16 Tokyo Seimitsu Co Ltd 半導体チップの製造プロセス
JP2001110811A (ja) 1999-10-08 2001-04-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4387007B2 (ja) 1999-10-26 2009-12-16 株式会社ディスコ 半導体ウェーハの分割方法
JP2001144126A (ja) 1999-11-12 2001-05-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JP2001148358A (ja) 1999-11-19 2001-05-29 Disco Abrasive Syst Ltd 半導体ウェーハ及び該半導体ウェーハの分割方法
US6300593B1 (en) 1999-12-07 2001-10-09 First Solar, Llc Apparatus and method for laser scribing a coated substrate
US6574250B2 (en) 2000-01-10 2003-06-03 Electro Scientific Industries, Inc. Laser system and method for processing a memory link with a burst of laser pulses having ultrashort pulse widths
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6407363B2 (en) 2000-03-30 2002-06-18 Electro Scientific Industries, Inc. Laser system and method for single press micromachining of multilayer workpieces
US6875640B1 (en) * 2000-06-08 2005-04-05 Micron Technology, Inc. Stereolithographic methods for forming a protective layer on a semiconductor device substrate and substrates including protective layers so formed
CN1219319C (zh) 2000-07-12 2005-09-14 电子科学工业公司 用于集成电路熔丝的单脉冲切断的紫外激光系统和方法
US6676878B2 (en) 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
EP1231513A1 (fr) * 2001-02-08 2002-08-14 Asm Lithography B.V. Appareil de projection lithographique avec surface réglable de focalisation
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
JP3910843B2 (ja) 2001-12-13 2007-04-25 東京エレクトロン株式会社 半導体素子分離方法及び半導体素子分離装置
US6706998B2 (en) 2002-01-11 2004-03-16 Electro Scientific Industries, Inc. Simulated laser spot enlargement
KR100451950B1 (ko) 2002-02-25 2004-10-08 삼성전자주식회사 이미지 센서 소자 웨이퍼 소잉 방법
AU2003246348A1 (en) 2002-02-25 2003-09-09 Disco Corporation Method for dividing semiconductor wafer
JP2003257896A (ja) 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd 半導体ウェーハの分割方法
EP1497851B1 (fr) 2002-04-19 2006-01-25 Xsil Technology Limited Decoupage en des d'un substrat commande par programme au moyen d'un laser pulse
US20030227614A1 (en) * 2002-06-05 2003-12-11 Taminiau August A. Laser machining apparatus with automatic focusing
JP2004031526A (ja) 2002-06-24 2004-01-29 Toyoda Gosei Co Ltd 3族窒化物系化合物半導体素子の製造方法
US6582983B1 (en) 2002-07-12 2003-06-24 Keteca Singapore Singapore Method and wafer for maintaining ultra clean bonding pads on a wafer
JP4286497B2 (ja) 2002-07-17 2009-07-01 新光電気工業株式会社 半導体装置の製造方法
JP3908148B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 積層型半導体装置
US20040157457A1 (en) 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
JP2004273895A (ja) 2003-03-11 2004-09-30 Disco Abrasive Syst Ltd 半導体ウエーハの分割方法
US7087452B2 (en) 2003-04-22 2006-08-08 Intel Corporation Edge arrangements for integrated circuit chips
JP2004322168A (ja) 2003-04-25 2004-11-18 Disco Abrasive Syst Ltd レーザー加工装置
JP4231349B2 (ja) 2003-07-02 2009-02-25 株式会社ディスコ レーザー加工方法およびレーザー加工装置
US7072166B2 (en) * 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
JP4408361B2 (ja) 2003-09-26 2010-02-03 株式会社ディスコ ウエーハの分割方法
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP4471632B2 (ja) 2003-11-18 2010-06-02 株式会社ディスコ ウエーハの加工方法
JP2005203541A (ja) 2004-01-15 2005-07-28 Disco Abrasive Syst Ltd ウエーハのレーザー加工方法
US7459377B2 (en) 2004-06-08 2008-12-02 Panasonic Corporation Method for dividing substrate
US7804043B2 (en) 2004-06-15 2010-09-28 Laserfacturing Inc. Method and apparatus for dicing of thin and ultra thin semiconductor wafer using ultrafast pulse laser
US7687740B2 (en) 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
US7507638B2 (en) 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
JP4018088B2 (ja) * 2004-08-02 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法及び半導体素子の製造方法
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
JP4018096B2 (ja) 2004-10-05 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法、及び半導体素子の製造方法
US20060088984A1 (en) 2004-10-21 2006-04-27 Intel Corporation Laser ablation method
US20060086898A1 (en) 2004-10-26 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus of making highly repetitive micro-pattern using laser writer
JP4843212B2 (ja) * 2004-10-29 2011-12-21 東京エレクトロン株式会社 レーザー処理装置及びレーザー処理方法
US20060146910A1 (en) 2004-11-23 2006-07-06 Manoochehr Koochesfahani Method and apparatus for simultaneous velocity and temperature measurements in fluid flow
JP4288229B2 (ja) 2004-12-24 2009-07-01 パナソニック株式会社 半導体チップの製造方法
US7875898B2 (en) 2005-01-24 2011-01-25 Panasonic Corporation Semiconductor device
US7363180B2 (en) * 2005-02-15 2008-04-22 Electro Scientific Industries, Inc. Method for correcting systematic errors in a laser processing system
JP2006253402A (ja) 2005-03-10 2006-09-21 Nec Electronics Corp 半導体装置の製造方法
US7361990B2 (en) 2005-03-17 2008-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing cracking of high-lead or lead-free bumps by matching sizes of contact pads and bump pads
JP4478053B2 (ja) 2005-03-29 2010-06-09 株式会社ディスコ 半導体ウエーハ処理方法
JP4285455B2 (ja) 2005-07-11 2009-06-24 パナソニック株式会社 半導体チップの製造方法
JP4599243B2 (ja) 2005-07-12 2010-12-15 株式会社ディスコ レーザー加工装置
JP4769560B2 (ja) 2005-12-06 2011-09-07 株式会社ディスコ ウエーハの分割方法
JP4372115B2 (ja) 2006-05-12 2009-11-25 パナソニック株式会社 半導体装置の製造方法、および半導体モジュールの製造方法
JP4480728B2 (ja) 2006-06-09 2010-06-16 パナソニック株式会社 Memsマイクの製造方法
JP4544231B2 (ja) 2006-10-06 2010-09-15 パナソニック株式会社 半導体チップの製造方法
JP4840174B2 (ja) 2007-02-08 2011-12-21 パナソニック株式会社 半導体チップの製造方法
JP4840200B2 (ja) 2007-03-09 2011-12-21 パナソニック株式会社 半導体チップの製造方法
US7926410B2 (en) 2007-05-01 2011-04-19 J.R. Automation Technologies, L.L.C. Hydraulic circuit for synchronized horizontal extension of cylinders
US20090045179A1 (en) * 2007-08-15 2009-02-19 Ellen Marie Kosik Williams Method and system for cutting solid materials using short pulsed laser
JP5205012B2 (ja) 2007-08-29 2013-06-05 株式会社半導体エネルギー研究所 表示装置及び当該表示装置を具備する電子機器
JP4858395B2 (ja) 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
US7859084B2 (en) 2008-02-28 2010-12-28 Panasonic Corporation Semiconductor substrate
JP5826027B2 (ja) * 2008-03-21 2015-12-02 イムラ アメリカ インコーポレイテッド レーザベースの材料加工方法及びシステム
JP2009260272A (ja) 2008-03-25 2009-11-05 Panasonic Corp 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
US20090255911A1 (en) 2008-04-10 2009-10-15 Applied Materials, Inc. Laser scribing platform and hybrid writing strategy
US20100013036A1 (en) 2008-07-16 2010-01-21 Carey James E Thin Sacrificial Masking Films for Protecting Semiconductors From Pulsed Laser Process
US8053256B2 (en) * 2008-12-31 2011-11-08 Texas Instruments Incorporated Variable thickness single mask etch process
US8609512B2 (en) 2009-03-27 2013-12-17 Electro Scientific Industries, Inc. Method for laser singulation of chip scale packages on glass substrates
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US10112258B2 (en) * 2012-03-30 2018-10-30 View, Inc. Coaxial distance measurement via folding of triangulation sensor optics path
JP5743123B1 (ja) * 2014-03-14 2015-07-01 株式会社東京精密 レーザーダイシング装置及びダイシング方法
US9587929B2 (en) * 2014-07-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Focus metrology method and photolithography method and system
US9281244B1 (en) * 2014-09-18 2016-03-08 Applied Materials, Inc. Hybrid wafer dicing approach using an adaptive optics-controlled laser scribing process and plasma etch process
JP6242776B2 (ja) * 2014-09-26 2017-12-06 富士フイルム株式会社 保護膜組成物、半導体装置の製造方法およびレーザーダイシング方法
US9245803B1 (en) * 2014-10-17 2016-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a bessel beam shaper laser scribing process and plasma etch process
US20170014964A1 (en) * 2015-01-23 2017-01-19 Systems, Machines, Automation Components Corporation Methods and apparatus for distance sensing used in combination with a linear actuator
CN108474651B (zh) * 2015-12-22 2020-09-15 Asml荷兰有限公司 形貌测量系统
US9852997B2 (en) 2016-03-25 2017-12-26 Applied Materials, Inc. Hybrid wafer dicing approach using a rotating beam laser scribing process and plasma etch process
JP6934381B2 (ja) * 2017-09-28 2021-09-15 株式会社ディスコ レーザー加工装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030039896A1 (en) * 2001-07-26 2003-02-27 Seiko Epson Corporation Exposure device, exposure method, semiconductor device manufacturing method, electro-optical device, and electronic appliance
JP2007280992A (ja) * 2006-04-03 2007-10-25 Seiko Epson Corp 記録方法、ホログラム露光方法、半導体の製造方法および電気光学装置の製造方法
US20100044358A1 (en) * 2008-08-25 2010-02-25 Disco Corporation Laser processing apparatus and laser processing method
US20150037915A1 (en) 2013-07-31 2015-02-05 Wei-Sheng Lei Method and system for laser focus plane determination in a laser scribing process
US20150104929A1 (en) * 2013-10-10 2015-04-16 Wei-Sheng Lei Method and apparatus for dicing wafers having thick passivation polymer layer
US20190067049A1 (en) * 2017-08-23 2019-02-28 Asm Technology Singapore Pte Ltd Radiative wafer cutting using selective focusing depths

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP4014252A4

Also Published As

Publication number Publication date
TW202115784A (zh) 2021-04-16
TWI807207B (zh) 2023-07-01
US20210050262A1 (en) 2021-02-18
TW202341273A (zh) 2023-10-16
CN114223056A (zh) 2022-03-22
EP4014252A4 (fr) 2023-10-04
US11342226B2 (en) 2022-05-24
KR20220041219A (ko) 2022-03-31
EP4014252A1 (fr) 2022-06-22
JP7402312B2 (ja) 2023-12-20
JP2022544923A (ja) 2022-10-24
US20220246476A1 (en) 2022-08-04

Similar Documents

Publication Publication Date Title
US11217536B2 (en) Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
US9349648B2 (en) Hybrid wafer dicing approach using a rectangular shaped two-dimensional top hat laser beam profile or a linear shaped one-dimensional top hat laser beam profile laser scribing process and plasma etch process
US9852997B2 (en) Hybrid wafer dicing approach using a rotating beam laser scribing process and plasma etch process
US10535561B2 (en) Hybrid wafer dicing approach using a multiple pass laser scribing process and plasma etch process
US9355907B1 (en) Hybrid wafer dicing approach using a line shaped laser beam profile laser scribing process and plasma etch process
JP7470104B2 (ja) 中間ブレークスルー処理を用いたハイブリッドレーザスクライビング及びプラズマエッチング手法を使用するウエハダイシング
US20240079273A1 (en) Laser scribing trench opening control in wafer dicing using hybrid laser scribing and plasma etch approach
US20210233816A1 (en) Hybrid wafer dicing approach using a spatially multi-focused laser beam laser scribing process and plasma etch process
US10903121B1 (en) Hybrid wafer dicing approach using a uniform rotating beam laser scribing process and plasma etch process
US20220246476A1 (en) Hybrid wafer dicing approach using an actively-focused laser beam laser scribing process and plasma etch process
US20160197015A1 (en) Hybrid wafer dicing approach using a polygon scanning-based laser scribing process and plasma etch process
US9330977B1 (en) Hybrid wafer dicing approach using a galvo scanner and linear stage hybrid motion laser scribing process and plasma etch process

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20851884

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2022508504

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20227008069

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2020851884

Country of ref document: EP

Effective date: 20220314