WO2020184091A1 - 窒化物半導体基板及びその製造方法 - Google Patents

窒化物半導体基板及びその製造方法 Download PDF

Info

Publication number
WO2020184091A1
WO2020184091A1 PCT/JP2020/006306 JP2020006306W WO2020184091A1 WO 2020184091 A1 WO2020184091 A1 WO 2020184091A1 JP 2020006306 W JP2020006306 W JP 2020006306W WO 2020184091 A1 WO2020184091 A1 WO 2020184091A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
silicon
nitride semiconductor
wafer
epitaxial
Prior art date
Application number
PCT/JP2020/006306
Other languages
English (en)
French (fr)
Inventor
彰一 高見澤
Original Assignee
彰一 高見澤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 彰一 高見澤 filed Critical 彰一 高見澤
Priority to CN202080035782.6A priority Critical patent/CN113874559A/zh
Priority to EP20770583.1A priority patent/EP3940123A4/en
Publication of WO2020184091A1 publication Critical patent/WO2020184091A1/ja
Priority to US17/473,651 priority patent/US20220077287A1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/22Sandwich processes
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT

Definitions

  • a silicon substrate obtained by epitaxially growing a nitride semiconductor on a silicon single crystal substrate for example, a silicon substrate for producing a nitride semiconductor substrate typified by a GaN on Si wafer
  • the present invention relates to a semiconductor substrate in which a nitride semiconductor is epitaxially grown on this substrate.
  • nitride single crystal Since it is extremely difficult to grow a nitride single crystal from a liquid phase, it is widely used in the form of a semiconductor substrate in which a nitride semiconductor is epitaxially grown on an inexpensive silicon substrate or sapphire substrate.
  • the nitride semiconductor layer is thickly grown on a silicon substrate or a sapphire substrate, stress is generated due to a difference in lattice constant and a difference in thermal expansion coefficient, and dislocations and warpage occur.
  • the dislocation density of the nitride semiconductor layer becomes high and the electrical characteristics of the device are deteriorated.
  • the warp of the substrate becomes large and the wafer processing in the semiconductor device is hindered, and cracks occur in the nitride semiconductor epitaxial layer.
  • Patent Document 1 introduces a method of thickening a silicon substrate as a means for improving the problem of substrate warpage.
  • a thick substrate up to about 1 mm is used, which has a small warp and can be used in the manufacturing line for manufacturing the device.
  • a method has also been proposed in which a silicon substrate is doped with impurities at a high concentration to harden the substrate by hardening the impurities and suppress an increase in warpage.
  • Patent Document 2 discloses a method for suppressing the occurrence of warpage and the occurrence of cracks by applying a thin film of a material having a coefficient of thermal expansion smaller than that of silicon to the back surface of the silicon substrate. Since there is a problem that slip dislocations occur when a large thermal stress is applied to a silicon substrate at a high temperature, the range in which the warpage of the nitride semiconductor substrate at a low temperature can be reduced and the occurrence of cracks can be suppressed is limited.
  • the nitride semiconductor When epitaxially growing a nitride semiconductor on a silicon substrate, the nitride semiconductor is a buffer layer that can effectively suppress the propagation of dislocations to the surface side while alleviating the lattice irregularities between the substrate and the epitaxial layer by introducing misfit dislocations.
  • a method of forming a layer and carrying out epitaxial growth of gallium nitride is widely used. Since the buffer layer also plays a role of relaxing the thermal stress caused by the difference in the coefficient of thermal expansion of the nitride semiconductor and the silicon crystal when it is cooled to room temperature after epitaxial growth, the two roles are not sufficiently compatible at present.
  • the large stress generated at the interface between the epitaxial layer and the silicon substrate caused by the mismatch of lattice constants and the difference in the coefficient of thermal expansion and the warpage generated by the mismatch are improved.
  • Several methods have been proposed to do this.
  • the occurrence of warpage has become a major obstacle as compared with the case where a sapphire substrate is used.
  • the dislocation density on the surface of the nitride semiconductor layer is about an order of magnitude higher than that when a sapphire substrate is used, and the situation where a silicon substrate is widely used has not been reached.
  • the reason for using a silicon wafer as a substrate for epitaxial growth of a nitride semiconductor is to increase the diameter for the purpose of cost reduction.
  • the sapphire substrate is mainly 2 inches and 3 inches, while the silicon substrate is mainly 6 inches.
  • the diameter of the wafer increases, it becomes much more difficult to solve the warp problem.
  • the warp of the wafer becomes large, there arises a problem that the device cannot process the wafer in the device manufacturing process and a problem that cracks occur.
  • gallium nitride is epitaxially grown after the buffer layer is formed.
  • Lattice strain is alleviated by introducing high-density misfit dislocations in the buffer layer. Since most of these misfit dislocations are blade-shaped dislocations extending parallel to the epitaxial interface, the dislocations extending to the surface are limited.
  • Various measures have been taken to prevent misfit rearrangements from extending to the surface by the nitride buffer layer in which the composition of gallium and aluminum is rapidly and periodically changed, and the nitride buffer layer in which the composition of group III elements is gradient. ing.
  • the buffer layer not only relaxes the lattice strain, but also relaxes the thermal strain caused by the difference in the coefficient of thermal expansion during cooling after epitaxial growth by its misfit dislocation.
  • this buffer layer has an excellent ability to suppress the occurrence of warpage due to the difference in the coefficient of thermal expansion that occurs when cooling to room temperature after epitaxial growth. This is because if the warp of the wafer becomes large, the device cannot be manufactured, and if cracks occur in the nitride semiconductor layer, the yield is significantly reduced due to the generation of foreign substances accompanying the cracks. In a sapphire substrate where cracks and warpage are unlikely to occur, the buffer layer reduces the role of heat strain mitigation. As a result, in the case of the sapphire substrate, the dislocation density of the nitride semiconductor in the region where the device is formed is about an order of magnitude lower than that in the case of using the silicon substrate.
  • the coefficient of thermal expansion of the nitride semiconductor is 15% smaller than that of sapphire, so that compressive stress is applied to the nitride semiconductor film after cooling. Therefore, cracks are unlikely to occur. Since a small-diameter substrate of 2 inches to 3 inches is widely used, the warp is small and there is almost no problem. Therefore, the buffer layer can be optimized so that the density of penetrating dislocations extending on the surface does not increase, with an emphasis on mitigating lattice strain.
  • the coefficient of thermal expansion of the nitride semiconductor is 60% or more larger than that of silicon, which causes problems such as large warpage and cracks due to tensile stress. Since it is necessary to relax the lattice strain while giving priority to the relaxation of the thermal strain caused by cooling to room temperature, it is necessary to introduce the misfit dislocations of the buffer layer at high density, and the penetration extending to the upper part of the buffer layer. The density of dislocations also increases.
  • the description will be limited to a wafer in which a gallium nitride crystal is epitaxially grown on a silicon substrate, so-called GaN on Si.
  • a gallium nitride semiconductor substrate the strain due to the mismatch of the lattice constants of the silicon crystal and the gallium nitride crystal and the thermal strain caused by the difference in thermal conductivity during cooling after epitaxial growth are formed on the gallium nitride side. It is relaxed by a buffer layer consisting of aluminum, gallium nitride and their mixed crystals.
  • the above two types of strains are alleviated from the silicon substrate side as well, the penetration dislocation density of the gallium nitride layer on the buffer layer is reduced, and the gallium nitride substrate does not generate cracks or large warpage. It is characterized by enabling the production of silicon.
  • a gallium nitride epitaxial wafer with small warpage and good crystallinity is manufactured by alleviating the lattice strain generated at the interface with the silicon substrate during epitaxial growth and alleviating the thermal strain caused by the difference in the coefficient of thermal expansion when cooling to room temperature after epitaxial growth. I devised a method.
  • Single crystal silicon does not show plasticity at room temperature.
  • Single crystal silicon without dislocations undergoes elastic deformation even at high temperatures, but when a stress of a certain magnitude or more is applied, dislocations are introduced and plastic deformation occurs.
  • the type of dislocations that occur and the direction of elongation are determined by the crystal orientation and stress conditions.
  • the epitaxial growth interface is the (111) plane
  • the misfit dislocations that alleviate the lattice irregularities are mainly blade-shaped dislocations that extend parallel to the growth interface.
  • Plastic deformation easily occurs in the region where dislocations occur. It is known that such a phenomenon becomes apparent in silicon at a temperature of 800 ° C. or higher.
  • FIG. 3 shows a schematic diagram of the stress-strain curves of the silicon non-dislocation crystal and the dislocation crystal at 900 ° C. (See Non-Patent Document 1)
  • a nitride semiconductor When a nitride semiconductor is epitaxially grown on a silicon substrate, lattice irregularities are so large that misfit dislocations occur immediately at the initial stage of growth.
  • a buffer layer is formed so that the stress is kept parallel to the growth interface, and a gallium nitride crystal on which the device is formed after alleviating the lattice irregularities to some extent is grown on the buffer layer.
  • the relaxation of lattice irregularities is promoted in the buffer layer, some of the dislocations become penetrating dislocations extending toward the surface layer.
  • the structure of the buffer layer has been devised in various ways to reduce the density of the through dislocations.
  • the through-dislocation density of the gallium nitride crystal is increased by about an order of magnitude as compared with the case where a sapphire substrate is used, and it is rarely used for LEDs.
  • the main reason for this is thought to be that the ratio of the coefficient of thermal expansion to gallium nitride is 1.16 for sapphire and 0.6 for silicon, so there is a large difference in the thermal stress generated during cooling after epitaxial growth. ..
  • relaxation of thermal stress is prioritized for the characteristics of the buffer layer of the nitride semiconductor.
  • misfit dislocations in a silicon substrate also contribute to relaxation of thermal stress and suppress the occurrence of cracks and warpage, so that a buffer layer with less occurrence of through dislocations can be used.
  • Non-Patent Document 2 The effect of thermal strain on misfit dislocations that occurs in the process of epitaxial growth of gallium nitride in the range of 1050 ° C to 1150 ° C and then cooling to room temperature is complicated.
  • misfit dislocations intentionally introduced into the silicon substrate are separated from the nitride semiconductor buffer layer by 100 ⁇ m or more, the effect of stress relaxation decreases, but how close they are, the interaction between the dislocations occurs and the through dislocations increase. This is an issue that needs to be examined individually because it is related to the method for producing the nitride buffer layer.
  • misfit dislocations may occur when a thick epitaxial film with high resistance is grown on a silicon substrate with very low resistance.
  • Various measures have been studied to avoid this, but in this method, on the contrary, it is necessary to determine the substrate and epitaxial growth conditions so that misfit dislocations occur.
  • the impurity concentration of the silicon mirror substrate described above is 1 ⁇ 10 19 atoms / cm 3 or more, and the impurity concentration of the epitaxial layer is 2 ⁇ 10 18 atoms / cm 3 or less.
  • the impurity concentration of the epitaxial layer is 2 ⁇ 10 18 atoms / cm 3 or less.
  • Non-Patent Document 2 When a low-concentration dopant-doped (high-resistance) epitaxial film is grown on a low-resistance substrate doped with high-concentration arsenic and antimony, which has a convex warp on the surface side, the surface A concave warp occurs on the side.
  • the epitaxial growth of high resistance progresses at high temperature and the epitaxial layer becomes thicker, the stress generated by the mismatch of the lattice constant with the substrate increases and the warp of the wafer increases.
  • the epitaxial film thickness exceeds the critical film thickness, misfit dislocations occur, stress is relaxed by plastic deformation, and warpage is reduced. (Fig. 1)
  • misfit dislocations occur depends on the epitaxial growth temperature (heat treatment temperature) of silicon, the resistivity of the substrate, and the thickness of the epitaxial layer. (See FIG. 2) A substrate in which a misfit has occurred can be observed and evaluated with an X-ray topograph as introduced in Non-Patent Document 1. Even if such a method is not used, when it exists in a wide range, it can be confirmed as a mesh-like step on the surface by visual observation under a condensing light.
  • the present invention not only a method of increasing the concentration of the dopant of the silicon mirror surface wafer in order to form a misfit dislocation in the silicon epitaxial wafer, but also a layer in which boron and phosphorus are highly doped is formed in the silicon epitaxial layer. May be. One or more thin silicon germanium layers may be inserted into the epitaxial layer.
  • one or more phosphorus, boron, antimony, carbon or germanium is added to the silicon mirror wafer, and the dose is 5 x 10 14 atoms / cm 2 or more.
  • a nitride semiconductor may be epitaxially grown on a silicon substrate into which misfit dislocations have been introduced by silicon epitaxial growth after ion implantation in a quantity and recovery heat treatment.
  • the nitride semiconductor when a nitride semiconductor is epitaxially grown on a silicon substrate, the nitride semiconductor is epitaxially grown using a silicon epitaxial wafer in which misfit dislocations are generated and plastic deformation is likely to occur.
  • the stress due to lattice irregularity that sometimes occurs at the interface with the silicon substrate can be relaxed not only from the buffer layer formed on the nitride semiconductor side but also from the silicon substrate side.
  • a nitride semiconductor crystal layer having good crystallinity with little warpage can be formed on the silicon crystal.
  • FIG. 1 is a silicon epitaxial wafer (P / P ++ type) in which an epi layer of several ⁇ cm is formed on a p-type substrate having extremely low resistance.
  • the substrate is a CZ substrate having a crystal orientation (111) of 150 mm ⁇ and is doped with boron in the range of 2 to 4 ⁇ 10 19 atoms / cm 3 .
  • the epitaxial layer was grown using trichlorosilane as a silicon source using a single-wafer reactor.
  • the epitaxial layer is doped with boron at a concentration of 1 to 2 x 10 16 atoms / cm 3 .
  • FIG. 1 is a silicon epitaxial wafer (P / P ++ type) in which an epi layer of several ⁇ cm is formed on a p-type substrate having extremely low resistance.
  • the substrate is a CZ substrate having a crystal orientation (111) of 150 mm ⁇ and is doped with boron in the range of 2 to 4 ⁇ 10
  • FIG. 1A is an epitaxial wafer in which misfit dislocations (MFDs) that have undergone epitaxial growth of 6 ⁇ m at 1200 ° C. have occurred.
  • FIG. 1 (B) is an epitaxial wafer that has undergone epitaxial growth of a thickness of 4 ⁇ m at 1120 ° C. and has no mismatch.
  • MFDs misfit dislocations
  • the minute mesh-like steps generated at 60 ° due to misfit dislocations could not be confirmed by visual observation under a condensing lamp.
  • One of the wafers was extracted and observed by an X-ray topograph, but no misfit dislocation was confirmed.
  • the warp was around 22 ⁇ m.
  • a step of epitaxially growing a nitride semiconductor on the silicon epitaxial substrate using a MOCVD apparatus to produce a GaN on Si wafer will be described.
  • the MOCVD apparatus used was a single-wafer experimental reactor. After pre-annealing the silicon epitaxial substrate in a hydrogen atmosphere at 1120 ° C., an AlN film was grown to a thickness of 100 nm with TMA (trimethylaluminium) and NH 3 (ammonia) gas to form an initial layer.
  • TMA trimethylaluminium
  • NH 3 ammonia
  • the buffer layer consists of a first layer made of AlN having a thickness of about 5 nm and a second layer made of GaN having a thickness of about 5 nm grown by TMG (trimethylgallium) and NH 3 (ammonia) gas. It grows repeatedly.
  • the thickness of the buffer layer was set to 1000 nm.
  • a gallium nitride layer (iGaN layer) was grown on the buffer layer. Impurities are not doped during the growth of this gallium nitride layer called the active layer.
  • a GaN on Si wafer having a gallium nitride layer thickness of 2.5 ⁇ m was prepared.
  • a barrier layer made of AlGaN (Al composition 0.25) was formed on the gallium nitride layer. The thickness of the barrier layer is 25 nm.
  • Example 1 As the silicon substrate, the epitaxial substrate in which the misfit dislocations shown in FIG. 1 (A) were generated was used. After pre-annealing in a hydrogen atmosphere at 1120 ° C., the initial layer of AlN was formed to a thickness of 100 nm without changing the temperature, and the buffer layer was continuously grown. The total thickness of the buffer layer is 1000 nm. The gallium nitride layer of the active layer growing on the buffer layer grew at 1120 ° C., and its thickness was set to 2500 nm.
  • the crystal quality of the GaN layer was evaluated by the X-ray diffraction method (XRD) in the half width (arcsec) of the peak waveform.
  • XRD X-ray diffraction method
  • Example 2 As the silicon substrate, the epitaxial substrate in which the misfit dislocations shown in FIG. 1 (A) were generated was used. After pre-annealing in a hydrogen atmosphere at 1120 ° C., the epitaxial layer was etched by 2 ⁇ m with HCl gas to make the thickness of the epitaxial layer 4 ⁇ m, and then the process was carried out in the same manner as in (Example 1) to obtain a GaN on Si wafer. Obtained. Among the obtained GaN on Si wafers, the GaN layer (iGaN layer and AlGaN layer) was evaluated by an X-ray diffraction method. As a result, the half width of the (102) plane was 433 arcsec, and the half width of the (002) plane was 367 arcsec. No cracks were found on the entire surface of the wafer.
  • Example 3 As a silicon substrate, the epitaxial substrate in which the misfit dislocations shown in FIG. 1 (A) are generated is pre-annealed in a hydrogen atmosphere at 1120 ° C. and then etched with HCl gas to make the epi layer 1.5 ⁇ m thick. The process was carried out in the same manner as in (Example 1) to obtain a GaN on Si wafer. Among the obtained GaN on Si wafers, the GaN layer (iGaN layer and AlGaN layer) was evaluated by an X-ray diffraction method. As a result, the half width of the (102) plane was 568 arcsec, and the half width of the (002) plane was 454 arcsec. No cracks were found on the outer periphery of the wafer.
  • Example 1 A GaN on Si wafer was obtained by proceeding with the process in the same manner as in (Example 1) except that an epitaxial substrate in which misfit dislocations did not occur as shown in FIG. 1B was used as the silicon substrate.
  • the GaN layer iGaN layer and AlGaN layer
  • the half width of the (102) plane was 447 arcsec
  • the half width of the (002) plane was 572 arcsec. Cracks were also found within about 20 mm of the outer circumference of the wafer.
  • (Comparative Example 2) As a silicon substrate, a 20 ⁇ cm 150 mm ⁇ CZ mirror surface wafer was used. Other than that, the process was carried out in the same manner as in (Example 1) to obtain a GaN on Si wafer. Among the obtained GaN on Si wafers, the GaN layer (iGaN layer and AlGaN layer) was evaluated by an X-ray diffraction method. As a result, the half width of the (102) plane was 641 arcsec, and the half width of the (002) plane was 502 arcsec. Cracks were also found within about 20 mm of the outer circumference of the wafer.
  • Example 1 For Example 1, Example 2, Example 3, Comparative Example 1 and Comparative Example 2, the conditions of the silicon substrate, the half width of XRD, and the crack occurrence status are summarized in a table.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Recrystallisation Techniques (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】シリコン単結晶基板に窒化物半導体層をエピタキシャル成長するとき、格子不整や熱膨張率の違いから歪みが生じ、大きな反りやクラックが発生しデバイスの製造に支障きたす。また、良好な結晶性を有する窒化物半導体が得られないという問題がある。 【解決手段】窒化物半導体をシリコン単結晶基板にエピタキシャル成長する際に生ずる格子不整による歪みと窒化物半導体のエピタキシャル成長後の冷却過程で生ずる熱膨張率の違いにより生ずる熱歪みを、窒化物半導体側のバッファー層だけで緩和するのでなく、シリコン単結晶基板側にその表面に平行なミスフィット転位層を形成し、前記の歪みをシリコン基板のミスフィット転位によっても緩和することにより、クラックや大きな反りの発生のない良好な結晶性を有する窒化物半導体基板を得る。

Description

[規則26に基づく補充 13.04.2020] 窒化物半導体基板及びその製造方法
本発明は、窒化物半導体をシリコン単結晶基板(以下では、シリコン基板と表記する)にエピタキシャル成長した、例えば、GaN on Siウエーハに代表される窒化物半導体基板を製造するためのシリコン基板、及び、この基板上へ窒化物半導体をエピタキシャル成長した半導体基板に関する。
 窒化物単結晶は、液相からの成長が極めて難しいため、安価なシリコン基板上やサファイア基板上に窒化物半導体をエピタキシャル成長した半導体基板の形で広く用いられている。しかし、シリコン基板やサファイア基板上に窒化物半導体層を厚く成長すると、格子定数の違いや熱膨張率の違いから応力が発生して転位や反りが発生する。特にシリコン基板を用いた場合は、窒化物半導体層の転位密度が高くなりデバイスの電気特性を悪化させてしまうという問題がある。また、基板の反りが大きくなり半導体装置でのウエーハ処理に支障をきたすことや、窒化物半導体エピタキシャル層にクラックが発生するという問題がある。
 基板の反りの問題を改善する手段として、シリコン基板を厚くする方法が特許文献1に紹介されている。反りが小さくなり、デバイスを作製する製造ラインで対応できる1mm程度までの厚い基板が用いられている。シリコン基板に不純物を高濃度にドーピングすることにより、不純物硬化により基板を固くして、反りの増大を抑制する方法も提案されている。
 さらに、特許文献2には、シリコン基板の背面に熱膨張率がシリコンよりも小さな材料の薄膜を付与することにより、反りの発生をとクラックの発生を抑制するための手法が開示されている。高温でシリコン基板に大きな熱応力を与えるとスリップ転位が発生するという問題がるため、低温での窒化物半導体基板の反りを低減してクラックの発生を抑制できる範囲は限られてしまう。
 シリコン基板に窒化物半導体をエピタキシャル成長する時には、基板とエピタキシャル層の格子不整をミスフィット転位の導入により緩和しつつ、表面側への転位の伝播を効果的抑制することができるバッファー層を窒化物半導体層に形成して、窒化ガリウムのエピタキシャル成長をおこなう方法が広く用いられている。そのバッファー層はエピタキシャル成長後に室温まで冷却する際に窒化物半導体とシリコン結晶の熱膨張率の違いによって生ずる熱応力の緩和を行う役割も担うため、二つの役割の両立は現状では十分できていない。
特開2014-192246号公報 特開2010-272781号公報
阿部孝夫著,「シリコン」(結晶成長とウエーハ加工),培風館,(1994),pp17,図2.5 及び pp45,図3.22 杉田吉充,日本結晶学会誌,12,(1970),pp.100
 上述のように、窒化物半導体をシリコン基板上にエピタキシャル成長する際には、格子定数のミスマッチや、熱膨張率の違いから生ずるエピタキシャル層とシリコン基板界面に生ずる大きな応力とそれにより発生する反りを改善するための幾つかの手法の提案がなされてきた。しかし、シリコン基板を窒化物半導体のエピタキシャル成長用基板として用いる場合には、サファイア基板を用いる場合と比較して反りの発生が大きな障害となってきた。また、窒化物半導体層表面の転位密度はサファイア基板を用いた場合より一桁程度大きく、シリコン基板が広く用いられる状況には至っていない。
 シリコンウエーハを窒化物半導体のエピタキシャル成長の基板として用いようとする理由は、コスト低減を目的とした大口径化である。サファイア基板は2インチ、3インチが主流であるのに対し、シリコン基板は6インチが主流である。しかし、ウエーハの直径が大きくなると反りの問題解決は格段に難しくなっていく。ウエーハの反りが大きくなるとデバイス製造工程で装置がウエーハを処理出来なくなるという問題やクラックが発生するという問題が起こる。
 窒化ガリウムとシリコンの格子定数の違いが極めて大きいため、バッファー層を形成してから窒化ガリウムのエピタキシャル成長がおこなわれる。該バッファー層中では高密度のミスフィット転位の導入により格子歪みが緩和される。このミスフィット転位の大半はエピタキシャル界面に平行に伸びる刃状転位であるため表面に伸びる転位は限定される。ガリウムやアルミニウムの組成を急激に周期的に変化させた窒化物バッファー層や、III族元素の組成に勾配をつけた窒化物バッファー層によってミスフィット転位が表面に伸びないように様々な工夫がなされている。バッファー層は格子歪みの緩和だけでなく、エピタキシャル成長後の冷却時に熱膨張率の違いから生ずる熱歪みをそのミスフィット転位によって緩和している。
このバッファー層は、エピタキシャル成長後に室温まで冷却する際に生ずる熱膨張率の違いによる反りの発生を抑制する能力に優れたものが望ましい。ウエーハの反りが大きくなるとデバイスの製造ができなくなるからであり、窒化物半導体層にクラックが発生すると、クラック発生に伴う異物の発生により歩留まりが著しく低減するからである。クラックや反りが発生しにくいサファイア基板では、バッファー層は熱歪み緩和の役割が減る。その結果、サファイア基板の場合にはシリコン基板を用いた場合より、デバイスが形成される領域の窒化物半導体の転位密度は、1桁前後低くなる。
 シリコンウエーハを窒化物半導体のエピタキシャル成長の基板として用いようとする理由の一つに、窒化ガリウムの優れた特性を利用して高性能の高耐圧トランジスタを実用化しようという目標がある。そのようなトランジスタの実用化が始まっているが、電流コラスプ等の結晶品質に関連する課題の完全な解決も課題として残されている。反りの問題と共に窒化物半導体層の結晶性の向上が期待されている。GaN on Siウエーハを用いてLEDの製造を行おうとする試みも一部で行われているが、窒化ガリウム結晶の転位密度が高く発光効率が低くなるため、大口径ウエーハを用いるメリットを生かせず、未だサファイア基板を凌駕するには至っていない。
 LED用に広く用いられているサファイア基板上に窒化物半導体を成長したエピタキシャルウエーハでは、サファイアよりも窒化物半導体の熱膨張率は15%小さいため、冷却後窒化物半導体膜に圧縮応力がかかる。そのためクラックの発生が起こり難くい。2インチから3インチの小口径基板が広く用いられているので反りも小さくなり問題になることは殆どない。そのためバッファー層は、格子歪みの緩和に重点を置き、表面に伸びる貫通転位の密度が増大しないように最適化することができる。
それに対して、窒化物半導体をシリコン基板上にエピタキシャル成長する時は、窒化物半導体の熱膨張率がシリコンより60%以上大きくなるので大きな反りの発生や引っ張り応力によるクラックの発生が問題になる。室温への冷却で生ずる熱歪みの緩和を優先しつつ、格子歪みの緩和をおこなうことが必要になるため、バッファー層のミスフィット転位を高密度に導入することが必要となりバッファー層上部へ伸びる貫通転位の密度も高くなってしまう。
   以下では、シリコン基板上に窒化ガリウム結晶をエピタキシャル成長したウエーハ、所謂、GaN on Si に限定して説明する。
 従来、窒化物半導体基板の製造においては、シリコン結晶と窒化ガリウム結晶の格子定数のミスマッチに伴う歪みとエピタキシャル成長後の冷却時に熱伝導率の違いから生ずる熱歪みを、窒化ガリウム側に形成される窒化アルミ二ウムと窒化ガリウムとそれらの混晶からなるバッファー層によって緩和している。本発明は、上記の2つの種類の歪みをシリコン基板側からも緩和して、前記バッファー層上の窒化ガリウム層の貫通転位密度を低減するとともに、クラックの発生や大きな反りが発生しない窒化ガリウム基板の製造を可能とすることを特徴としている。
 本発明者らは、シリコン基板上にエピタキシャル成長する窒化ガリウム層の結晶品質を向上させながら大きな反りやクラックの発生を防ぐために、シリコン基板にミスフィット転位を発生させて、シリコン側からも窒化ガリウムのエピタキシャル成長時にシリコン基板との界面に生ずる格子歪みを緩和し、エピタキシャル成長後に室温まで冷却する際に熱膨張率の違いにより生ずる熱歪みを緩和し、反りの小さい結晶性の良い窒化ガリウムエピタキシャルウエーハを製造する手法を考案した。
共有結合半径の小さなボロン又はリンを1x1019atoms/cc以上にドープしたシリコン鏡面ウエーハに、ノンドープ又はボロン、リン、ヒ素を1x1018atoms/cc以下の濃度にドープしたシリコンエピタキシャル層を成長したとき、前記鏡面ウエーハと前記エピタキシャル層の界面に格子不整が生じ、シリコンエピタキシャルウエーハは凸形状となる。共有結合半径の大きなヒ素やアンチモンを高濃度にドープしたリコン鏡面ウエーハを用いた場合には凹形状になる。エピタキシャル層が厚くなるに従い応力が増大し反りが大きくなっていく。低抵抗のシリコン基板に高抵抗のシリコンエピタキシャル膜厚を厚く成長するとミスフィット転位が発生して応力が緩和され、塑性変形により反りが半分程度に減少することはシリコンのエピタキシャル製造で良く知られていることでもある。(図1)
単結晶シリコンは常温では塑性を示さない。高温でも無転位の単結晶シリコンは弾性変形をするが、ある大きさ以上の応力が加えられると転位が導入され塑性変形が起こるようになる。結晶方位と応力の状態により発生する転位の種類や伸びる方向が決まる。エピタキシャル成長界面が(111)面の場合、格子不整を緩和するミスフィット転位は主に成長界面に平行に伸びる刃状転位となる。転位が発生した領域では塑性変形が容易に起こるようになる。このような現象はシリコンでは800℃以上の温度で顕在化することが知られている。図3に900℃でのシリコン無転位結晶と有転位結晶の応力歪み曲線の概略図を示した。(非特許文献1を参照)
窒化物半導体をシリコン基板にエピタキシャル成長した時は、格子不整が非常に大きいので、成長初期に直ちにミスフィット転位が発生する。応力が成長界面に平行に保たれるように工夫してバッファー層を形成し、格子不整をある程度まで緩和した後にデバイスが形成される窒化ガリウム結晶をその上に成長する。バッファー層で格子不整の緩和を進めるとき、転位の一部が表層に向かって伸びる貫通転位になる。この貫通転位の密度を下げるためバッファー層の構造が色々工夫されている。
しかしながらサファイア基板を用いる場合に比較して、シリコン基板を用いると窒化ガリウム結晶の貫通転位密度は一桁程度高くなりLED用には殆ど用いられていない。その最大の理由は、窒化ガリウムに対する熱膨張率の比率が、サファイアが1.16に対してシリコンは0.6であるため、エピタキシャル成長後の冷却時に生ずる熱応力に大きな違いがあるためと考えられる。シリコン基板を用いた時には、窒化物半導体のバッファー層の特性は熱応力の緩和が優先されることとなる。本法においては、シリコン基板中のミスフィット転位も熱応力の緩和に寄与してクラックや反りの発生を抑制して、貫通転位の発生が少ないバッファー層の利用が可能となる。
 シリコンパワーMOSFETでは、ミスフィット転位がデバイス製造での歪みにより貫通転位となりデバイス領域に伸びてリーク電流増大の原因になる場合があるためミスフィット転位の発生を抑制する技術が検討されている。ミスフィット転位同士が近接すると相互作用が起こり、表面に向かって伸びる貫通転位となることも知られている。(非特許文献2)1050℃~1150℃の範囲で窒化ガリウムのエピタキシャル成長がおこなわれた後、室温に冷却される過程で生ずる熱歪みのミスフィット転位への影響は複雑である。シリコン基板中に意図的に導入されたミスフィット転位が、窒化物半導体バッファー層から100μm以上離れると応力緩和の効果は減少するが、どの程度接近すると転位間の相互作用が生じ貫通転位を増大させるかについては、窒化物バッファー層の製造方法との関係もあり個別の検討が必要な課題である。
 転位が発生していないシリコン基板では、塑性変形が起こり難くなることが図3から理解される。その分窒化物半導体のバッファー層側に大きな応力がかかり、その応力を緩和するために高密度のミスフィット転位が発生するようになる。転位密度が高くなるので、転位が交差したりして貫通転位となる確率も上がり、バッファー層の上方向に伸びる転位密度が高くなると考えられる。
 シリコンのエピタキシャルウエーハにミスフィット転位を発生させる方法については幾つかの方法があるが、以下では、比較的基板の入手、製造が簡単な超低抵抗シリコン鏡面ウエーハをその基板に用いる方法を中心に説明する。
非常に低抵抗のシリコンの基板に高抵抗の厚いエピタキシャル膜を成長した場合にミスフィット転位が発生することがある。それを回避するための対策は色々検討されてきているが、本法では、逆に、ミスフィット転位が発生するように基板とエピタキシャル成長の条件を決める必要がある。
前述のシリコン鏡面基板の不純物濃度は、1×1019atoms/cm以上、エピタキシャル層の不純物濃度は、2×1018atoms/cm以下である場合が一般的である。リン、またはボロンが高濃度にドープされた(低抵抗)シリコン鏡面基板に低濃度にドープされた(高抵抗)エピタキシャル膜が成長されたときは、界面に0.01%程度の格子不整が生じ(非特許文献2)表面側が凸型の反りが、高濃度のヒ素、アンチモンがドープされた低抵抗基板に低濃度のドーパントがドープされた(高抵抗)エピタキシャル膜が成長されたときは、表面側が凹型の反りが発生する。高温で高抵抗のエピタキシャル成長が進み、エピタキシャル層が厚くなっていくと、基板との格子定数のミスマッチから生ずる応力が増大しウエーハの反りが大きくなっていく。エピタキシャル膜厚が臨界膜厚を超えるとミスフィット転位が発生し塑性変形により応力が緩和され反りが小さくなる。(図1)
ミスフィット転位が発生するかどうかは、シリコンのエピタキシャル成長温度(熱処理温度)や、基板の抵抗率、エピタキシャル層の厚さにより決まる。(図2参照)ミスフィットが発生した基板は、非特許文献1に紹介されているようにX線トポグラフで観察、評価することができる。そのような方法を用いなくても、広い範囲に存在する時は集光灯下の目視観察でも表面にメッシュ状の段差として確認することができる。
本発明ではシリコンエピタキシャルウエーハ中にミスフィット転位を形成するためにシリコン鏡面ウエーハのドーパントの濃度を高くする方法だけでなく、シリコンエピタキシャル層中にボロン、リンが高濃度にドープされた層を形成してもよい。1層以上の薄いシリコンゲルマ二ウム層をエピタキシャル層に挿入しても良い。
低抵抗のシリコン鏡面ウエーハや高濃度のエピタキシャル層をエピタキシャル基板に形成するのではなく、シリコン鏡面ウエーハに、リン、ボロン、アンチモン、炭素やゲルマニウムを1種以上、5x1014atoms/cm2以上のドーズ量でイオン注入した後に回復熱処理をしたのち、シリコンエピタキシャル成長をしてミスフィット転位を導入したシリコン基板に窒化物半導体をエピタキシャル成長しても良い。
 以上説明したように、本発明によれば、窒化物半導体をシリコン基板上にエピタキシャル成長する時に、ミスフィット転位を発生させて塑性変形が起こり易くしたシリコンエピタキシャルウエーハを用いて、窒化物半導体をエピタキシャル成長した時にシリコン基板との界面に生ずる格子不整による応力を窒化物半導体側に形成するバッファー層だけで緩和するのではなく、シリコン基板側からも緩和させることをできるようにした。その結果、反りの小さい良好な結晶性をもった窒化物半導体結晶層がシリコン結晶上に形成できるようになる。
 
 窒化物半導体結晶をシリコン結晶上にエピタキシャル成長した後、室温まで冷却する際、シリコンと窒化物半導体の熱伝導率の違いから大きな凹形状の反りが発生し、時には窒化物半導体層にクラックが発生する。図4に示したように、基板となるシリコンエピタキシャルウエーハにミスフット転位を形成することで、800℃程度までの冷却時に生ずる熱応力をシリコン基板側でも塑性変形して緩和するので、室温まで冷却した時の熱応力は小さくなり、反りやクラックの発生を防止できるようになる。
エピタキシャル成長が進んでエピ層が厚くなりミスフィット転位が発生した時と、その直前の反りの状況を模式的に示した図である。 1130℃成長でのシリコンエピタキシャルウエーハのエピ層厚さと基板の抵抗率とミスフィット転位の発生の関係を表した図面である。 シリコンの無転位結晶と有転位結晶の900℃における応力‐歪み曲線図である。(引っ張り歪み付加) 本発明のエピタキシャル基板にミスフィット転位がある場合と、無い場合の窒化物半導体の冷却工程における応力の状況を示した図である。 本発明の半導体基板を用いた半導体装置の模式的な図面である。
 以下では、GaN on Si基板の標準的製法を例に説明する。用いる設備によってはこの条件が最適でない場合もある。ヒーター加熱型の枚葉MOCVD装置を用いて、HEMT用のGaN on Siウエーハを製造することを前提に最良の形態について説明する。
図1は、極めて低抵抗のp型基板に数Ωcmのエピ層が形成された(P/P++タイプの)シリコンエピタキシャルウエーハである。その基板は150mmφの結晶方位(111)のCZ基板でボロンが2~4x1019atoms/cmの範囲でドープされている。エピタキシャル成長は枚葉式リアクターによりトリクロロシランをシリコンソースとしてエピタキシャル層の成長をおこなった。エピタキシャル層には1~2x1016atoms/cmの濃度のボロンがドープされている。図1(A)は1200℃で6μmの厚さのエピタキシャル成長をおこなったミスフィット転位(MFD)が発生しているエピタキシャルウエーハである。図1(B)は1120℃で4μmの厚さのエピタキシャル成長をおこなったミスフィットが発生していないエピタキシャルウエーハである。
 図1(A)のエピタキシャルウエーハの全てについて、ミスフィット転位により発生する60°で交差するメッシュ状の微小な段差を、集光灯下で目視観察により確認している。その一部を抜き取り、X線トポグラフによりミスフィット転位が発生していることを確認している。反りは14μm以下であった。
 図1(B)のエピタキシャルウエーハについて、ミスフィット転位により発生する60°で交差するメッシュ状の微小な段差は集光灯下での目視観察によっては確認できなかった。その中の1枚のウエーハを抜き取ってX線トポグラフにより観察したが、ミスフィット転位の発生は確認されなかった。反りは22μm前後であった。 
次に該シリコンエピタキシャル基板にMOCVD装置を用いて窒化物半導体をエピタキシャル成長して、GaN on Siウエーハを作る工程について説明する。MOCVD装置は枚葉式の実験用リアクターを用いた。シリコンエピタキシャル基板を1120℃の水素雰囲気でプレアニールした後、TMA(トリメチルアルミ二ウム)とNH(アンモニア)ガスにより、AlN膜を100nmの厚さに成長して初期層を形成した。
次に、初期層上にバッファー層を形成した。バッファー層は5nm程度の厚さのAlNからなる第1層とTMG(トリメチルガリウム)とNH(アンモニア)ガスにより成長する5nm程度の厚さのGaNからなる第2層を数十から百数十回繰り返して成長する。ここではバッファー層の厚さを1000nmとした。
バッファー層の成長に続いて、その上に窒化ガリウム層(iGaN層)を成長した。この活性層と呼ばれる窒化ガリウム層の成長時には不純物をドープしていない。ここでは、窒化ガリウム層の厚さが2.5μmのGaN on Siウエーハを作成した。該窒化ガリウム層の上に、AlGaN(Al組成0.25)からなるバリア層を形成した。バリア層の厚さは25nmとしている。このような製法により図5に示した構造のHEMTデバイス用の基板として用いられるGaN on Siウエーハを作成した。
以上で説明した基本的な製法を基本として、条件が異なる実施例について以下で説明していくが、本発明はこれに限定されるものではない。
(実施例1)  
シリコン基板として、図1(A)に示したミスフィット転位が発生しているエピタキシャル基板を用いた。1120℃の水素雰囲気でプレアニールした後、温度を変更せずにAlNの初期層を100nmの厚さに形成し、連続してバッファー層の成長をおこなった。バッファー層の全体の厚さは1000nmである。バッファー層の上に成長する活性層の窒化ガリウム層は1120℃で成長し、その厚さは2500nmとした。このような方法で作製されたGaN on Si基板のうち、GaN層(iGaN層とAlGaN層)の結晶品質をX線回折法(XRD)によりピーク波形の半値幅(arcsec)で評価した。その結果、(102)面の半値幅は399arcsec、(002)面の半値幅は318arcsecであった。このGaN on Siウエーハでは全面でクラックの発生は見られなかった。
(実施例2)
シリコン基板として、図1(A)に示したミスフィット転位が発生しているエピタキシャル基板を用いた。1120℃の水素雰囲気でプレアニールした後、HClガスによりエピタキシャル層を2μmエッチングして、エピタキシャル層の厚さを4μmにした後、(実施例1)と同様にプロセスを進めて、GaN on Siウエーハを得た。得られたGaN on Siウエーハのうち、GaN層(iGaN層とAlGaN層)をX線回折法で評価した。その結果、(102)面の半値幅は433arcsec、(002)面の半値幅は367arcsecであった。ウエーハ全面でクラックの発生は見られなかった。
(実施例3)
シリコン基板として、図1(A)に示したミスフィット転位が発生しているエピタキシャル基板を1120℃の水素雰囲気でプレアニールした後にHClガスによりエッチングしエピ層を1.5μmの厚さにした後、(実施例1)と同様にプロセスを進めて、GaN on Siウエーハを得た。得られたGaN on Siウエーハのうち、GaN層(iGaN層とAlGaN層)をX線回折法で評価した。その結果、(102)面の半値幅は568arcsec、(002)面の半値幅は454arcsecであった。ウエーハ外周部にクラックの発生は見られなかった。
(比較例1)
シリコン基板として、図1(B)に示したミスフィット転位が発生していないエピタキシャル基板を用いた点以外は、(実施例1)と同様にプロセスを進めて、GaN on Siウエーハを得た。得られたGaN on Siウエーハのうち、GaN層(iGaN層とAlGaN層)をX線回折法で評価した。その結果、(102)面の半値幅は447arcsec、(002)面の半値幅は572arcsecであった。ウエーハの外周部約20mm内にもクラックの発生が見られた。
(比較例2)
シリコン基板として、20Ωcmの150mmφのCZ鏡面ウエーハを用いた。それ以外は(実施例1)と同様にプロセスを進めて、GaN on Siウエーハを得た。得られたGaN on Siウエーハのうち、GaN層(iGaN層とAlGaN層)をX線回折法で評価した。その結果、(102)面の半値幅は641arcsec、(002)面の半値幅は502arcsecであった。ウエーハの外周部約20mm内にもクラックの発生が見られた。
 実施例1、実施例2、実施例3、比較例1、比較例2について、シリコン基板の条件とXRDの半値幅、クラックの発生状況を表にまとめて示した。
Figure JPOXMLDOC01-appb-T000001
    
 表1から、エピタキシャル層のある深さにミスフィット転位を発生させて塑性変形を起こり易くしたシリコン基板に窒化物半導体をエピタキシャル成長すると、クラックの発生が抑制され、窒化物半導体のエピタキシャル層の結晶性が向上すること、及び、ミスフィット層が界面に1.5μm迄近づくと効果も悪影響もなくなるという傾向が確認された。高濃度のボロンをドープしたシリコン基板を用いてもクラック発生に対する抑制効果はなかった。
  11、  シリコンエピタキシャル層
  12、  シリコン単結晶基板(低抵抗)
  13、  ミスフィット転位
  14、  ミスフィットが発生したエピタキシャルウエーハの反り
  15、  ミスフィット未発生のエピタキシャルウエーハの反り
  33、  初期層
  34、  AlN層
  35、  GaN層
  36、  バッファー層    
  37、  活性(iGaN)層
  38、  バリア層
  41、  ソース電極
  42、  ドレイン電極
  43、  ゲート電極
 

Claims (5)

  1.  シリコン単結晶基板上に窒化物半導体がエピタキシャル成長された半導体基板であって、前記シリコン単結晶基板中にミスフィット転位が存在していることを特徴とする半導体基板。
  2. 前記シリコン単結晶基板中のミスフィット転位の深さ方向の密度が最大となる位置が、前記シリコン単結晶基板と前記窒化物半導体の界面からシリコン単結晶基板側に1.5μmより離れた位置に存在することを特徴とする請求項1に記載の半導体基板。
  3. シリコン鏡面ウエーハを基板として、その主表面上に該シリコン鏡面ウエーハと格子定数の異なるシリコン単結晶薄膜を気相成長させて、格子定数の違いに基づくミスフィット転位を基板との界面に生じさせたエピタキシャルウエーハを製造する工程と、該エピタキシャルウエーハを前記シリコン単結晶基板として、窒化物半導体をエピタキシャル成長する工程とを含むことを特徴とする請求項1又は請求項2に記載の半導体基板の製造方法。
  4. シリコン鏡面ウエーハにシリコンエピタキシャル層を気相成長する際に、該エピタキシャル層と格子定数の異なるエピタキシャル層を挟み込むように成長して、格子定数の違いに基づくミスフィット転位をエピタキシャル層中に生じさせたエピタキシャルウエーハを製造する工程と、該エピタキシャルウエーハを前記シリコン単結晶基板として、窒化物半導体をエピタキシャル成長する工程とを含むことを特徴とする請求項1又は請求項2に記載の半導体基板の製造方法。
  5. シリコン鏡面ウエーハに、リン、ボロン、アンチモン、炭素やゲルマニウムを1種以上、高濃度にイオン注入する工程と、回復熱処理をする工程と、該ウエーハを基板にシリコンエピタキシャル成長して基板との界面にミスフィット転位を生じさせる工程と、該エピタキシャルウエーハを前記シリコン単結晶基板として、窒化物半導体をエピタキシャル成長する工程とを含むことを特徴とする請求項1又は請求項2に記載の半導体基板の製造方法。
PCT/JP2020/006306 2019-03-13 2020-02-18 窒化物半導体基板及びその製造方法 WO2020184091A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN202080035782.6A CN113874559A (zh) 2019-03-13 2020-02-18 氮化物半导体衬底及其制造方法
EP20770583.1A EP3940123A4 (en) 2019-03-13 2020-02-18 NITRIDE SEMICONDUCTOR SUBSTRATE
US17/473,651 US20220077287A1 (en) 2019-03-13 2021-09-13 Nitride semiconductor substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-070218 2019-03-13
JP2019070218A JP7364997B2 (ja) 2019-03-13 2019-03-13 窒化物半導体基板

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/473,651 Continuation US20220077287A1 (en) 2019-03-13 2021-09-13 Nitride semiconductor substrate

Publications (1)

Publication Number Publication Date
WO2020184091A1 true WO2020184091A1 (ja) 2020-09-17

Family

ID=72427355

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2020/006306 WO2020184091A1 (ja) 2019-03-13 2020-02-18 窒化物半導体基板及びその製造方法

Country Status (5)

Country Link
US (1) US20220077287A1 (ja)
EP (1) EP3940123A4 (ja)
JP (1) JP7364997B2 (ja)
CN (1) CN113874559A (ja)
WO (1) WO2020184091A1 (ja)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63249377A (ja) * 1987-04-06 1988-10-17 Seiko Instr & Electronics Ltd シヨツトキバリア・ゲ−ト電界効果トランジスタ
JP2006327931A (ja) * 2005-05-25 2006-12-07 Siltronic Ag 半導体層構造並びに半導体層構造の製造方法
JP2007073873A (ja) * 2005-09-09 2007-03-22 Showa Denko Kk 半導体素子
JP2009143756A (ja) * 2007-12-13 2009-07-02 Shin Etsu Chem Co Ltd GaN層含有積層基板及びその製造方法並びにデバイス
JP2010272781A (ja) 2009-05-25 2010-12-02 Sharp Corp 半導体積層構造体の製造方法
US20110263098A1 (en) * 2010-04-23 2011-10-27 Applied Materials, Inc. Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
JP2014192246A (ja) 2013-03-26 2014-10-06 Mitsubishi Chemicals Corp 半導体基板およびそれを用いた半導体素子
JP2018011060A (ja) * 2012-09-13 2018-01-18 パナソニックIpマネジメント株式会社 窒化物半導体構造物

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905771B2 (en) * 2002-11-11 2005-06-14 Sumitomo Mitsubishi Silicon Corporation Silicon wafer
US8008181B2 (en) * 2008-08-22 2011-08-30 The Regents Of The University Of California Propagation of misfit dislocations from buffer/Si interface into Si
US8268707B2 (en) * 2009-06-22 2012-09-18 Raytheon Company Gallium nitride for liquid crystal electrodes
US8981380B2 (en) * 2010-03-01 2015-03-17 International Rectifier Corporation Monolithic integration of silicon and group III-V devices
JP2012038973A (ja) * 2010-08-09 2012-02-23 Siltronic Ag シリコンウエハ及びその製造方法
KR101373403B1 (ko) * 2012-02-09 2014-03-13 주식회사 시지트로닉스 실리콘 기판상에 ⅲ-질화계 에피층을 성장하는 방법 및 그 반도체 기판
JP6107435B2 (ja) * 2013-06-04 2017-04-05 三菱電機株式会社 半導体装置及びその製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63249377A (ja) * 1987-04-06 1988-10-17 Seiko Instr & Electronics Ltd シヨツトキバリア・ゲ−ト電界効果トランジスタ
JP2006327931A (ja) * 2005-05-25 2006-12-07 Siltronic Ag 半導体層構造並びに半導体層構造の製造方法
JP2007073873A (ja) * 2005-09-09 2007-03-22 Showa Denko Kk 半導体素子
JP2009143756A (ja) * 2007-12-13 2009-07-02 Shin Etsu Chem Co Ltd GaN層含有積層基板及びその製造方法並びにデバイス
JP2010272781A (ja) 2009-05-25 2010-12-02 Sharp Corp 半導体積層構造体の製造方法
US20110263098A1 (en) * 2010-04-23 2011-10-27 Applied Materials, Inc. Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
JP2018011060A (ja) * 2012-09-13 2018-01-18 パナソニックIpマネジメント株式会社 窒化物半導体構造物
JP2014192246A (ja) 2013-03-26 2014-10-06 Mitsubishi Chemicals Corp 半導体基板およびそれを用いた半導体素子

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
TAKAO ABE, SILICON, 1994, pages l7
YOSHIMITSU SUGITA, JOURNAL OF THE CRYSTALLOGRAPHIC SOCIETY OF JAPAN, vol. 12, 1970, pages 100

Also Published As

Publication number Publication date
CN113874559A (zh) 2021-12-31
EP3940123A1 (en) 2022-01-19
JP7364997B2 (ja) 2023-10-19
EP3940123A4 (en) 2022-12-14
JP2020150243A (ja) 2020-09-17
US20220077287A1 (en) 2022-03-10

Similar Documents

Publication Publication Date Title
JP4954298B2 (ja) 中間層構造を有する厚い窒化物半導体構造、及び厚い窒化物半導体構造を製造する方法
KR100671279B1 (ko) 변형 실리콘 웨이퍼 및 그 제조방법
US20120032229A1 (en) Silicon Wafer And Production Method Thereof
JP5637086B2 (ja) エピタキシャルウエハ及び半導体素子
WO2013168371A1 (ja) エピタキシャル基板、半導体装置及び半導体装置の製造方法
CN114207825A (zh) 电子器件用基板及其制造方法
US20240071756A1 (en) Method for manufacturing group iii nitride semiconductor substrate
WO2016092887A1 (ja) 炭化珪素エピタキシャル基板および炭化珪素半導体装置
US9099383B2 (en) Semiconductor substrate and semiconductor device, and manufacturing method of semiconductor substrate
JP2013179121A (ja) 半導体基板の製造方法および半導体基板
CN113994032A (zh) 电子器件用基板及其制造方法
JP5384450B2 (ja) 化合物半導体基板
WO2020184091A1 (ja) 窒化物半導体基板及びその製造方法
JP6101565B2 (ja) 窒化物半導体エピタキシャルウェハ
JP6108609B2 (ja) 窒化物半導体基板
US20190273136A1 (en) Silicon carbide epitaxial wafer and manufacturing method thereof
JP6484489B2 (ja) 窒化物半導体エピタキシャルウェハおよびその製造方法
WO2016031334A1 (ja) 窒化物半導体および窒化物半導体の製造方法
WO2024057698A1 (ja) 窒化物半導体層付き単結晶シリコン基板及び窒化物半導体層付き単結晶シリコン基板の製造方法
WO2023037838A1 (ja) 窒化物半導体基板の製造方法
RU2750295C1 (ru) Способ изготовления гетероэпитаксиальных слоев III-N соединений на монокристаллическом кремнии со слоем 3C-SiC
JP2006173323A (ja) 歪みシリコンウェーハの製造方法
CN113990940A (zh) 碳化硅外延结构及其制造方法
JP2020155679A (ja) エピタキシャルシリコンウェーハの製造方法、および、エピタキシャルシリコンウェーハ

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20770583

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 2020770583

Country of ref document: EP

Effective date: 20211013