WO2019225184A1 - 成膜装置および成膜方法 - Google Patents

成膜装置および成膜方法 Download PDF

Info

Publication number
WO2019225184A1
WO2019225184A1 PCT/JP2019/015389 JP2019015389W WO2019225184A1 WO 2019225184 A1 WO2019225184 A1 WO 2019225184A1 JP 2019015389 W JP2019015389 W JP 2019015389W WO 2019225184 A1 WO2019225184 A1 WO 2019225184A1
Authority
WO
WIPO (PCT)
Prior art keywords
film forming
coupled plasma
gas
substrate
capacitively coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
PCT/JP2019/015389
Other languages
English (en)
French (fr)
Japanese (ja)
Inventor
山涌 純
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to CN201980031668.3A priority Critical patent/CN112135925B/zh
Priority to US17/056,342 priority patent/US11578407B2/en
Priority to KR1020207035556A priority patent/KR102571839B1/ko
Publication of WO2019225184A1 publication Critical patent/WO2019225184A1/ja
Anticipated expiration legal-status Critical
Ceased legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Definitions

  • the present disclosure relates to a film forming apparatus and a film forming method.
  • ALD atomic layer deposition
  • a chamber capable of generating high-density plasma such as ICP type or ECR type is used, bias is applied to the substrate side, and film formation and etching are performed simultaneously.
  • An advanced HDP-CVD method is known (for example, Patent Document 2).
  • the present disclosure provides a film forming apparatus and a film forming method capable of performing film formation corresponding to further miniaturization of a semiconductor device using PEALD.
  • a film forming apparatus is a film forming apparatus that forms a predetermined film on a substrate by plasma ALD, and includes a chamber that accommodates the substrate, a stage that supports the substrate in the chamber, A conductive upper electrode provided opposite to the stage and a conductive shower plate insulated from the upper electrode, and supplied with a gas containing a film forming source gas and a reaction gas for film formation
  • a shower head for introducing the gas into the chamber, an electrode included in the stage, a first high-frequency power source connected to the upper electrode, and a second high-frequency power source connected to the electrode, When high frequency power is supplied from the first high frequency power source to the upper electrode, a high frequency electric field is formed between the upper electrode and the shower plate, and the first capacitive coupling plate is formed.
  • a high frequency electric power is supplied to the electrode from the second high frequency power source, a high frequency electric field is formed between the shower plate and the electrode, and is independent of the first capacitively coupled plasma.
  • the second capacitively coupled plasma is
  • a film forming apparatus and a film forming method capable of performing film formation corresponding to further miniaturization of a semiconductor device using PEALD are provided.
  • FIG. 1 is a schematic cross-sectional view showing a film forming apparatus according to an embodiment.
  • the film forming apparatus 100 is configured as a capacitively coupled plasma processing apparatus, and has a film forming function for forming a predetermined film on the substrate W by PEALD and an etching function.
  • Examples of the substrate W include a semiconductor wafer, but are not limited thereto.
  • the film forming apparatus 100 has a substantially cylindrical chamber 1.
  • the chamber 1 is secured.
  • a stage 2 for placing (supporting) the substrate W horizontally is disposed inside the chamber 1 .
  • the stage 2 is made of an insulating ceramic such as AlN, and the lower center portion thereof is supported by a support member 3 made of a cylindrical insulating ceramic extending vertically from the bottom of the chamber 1.
  • a heater 5 made of a high melting point metal such as molybdenum is embedded in the stage 2, and the heater 5 is supplied with power from a heater power source (not shown) to thereby attach a substrate W on the stage 2 to a predetermined level. Heat to temperature.
  • the stage 2 may be a conductor.
  • the top wall of the chamber 1 has an opening 1a, and a shower head 10 is fitted into the opening 1a through an insulating member 9.
  • the shower head 10 has a cylindrical shape as a whole, and includes an upper electrode 11 that is an upper plate, a shower plate 12 that constitutes a lower surface, and a cylindrical insulating member 13 provided therebetween. .
  • the upper electrode 11 and the shower plate 12 are made of a conductive material.
  • the interior of the shower head 10 is a gas diffusion space 14.
  • the upper electrode 11 has a flange portion 11 a on the outer periphery thereof, and the flange portion 11 a is supported by the insulating member 9.
  • a plurality of gas discharge holes 15 are formed in the shower plate 12, and a gas introduction hole 16 is formed in the upper electrode 11.
  • the gas introduction hole 16 is connected to a gas line of a gas supply mechanism 20 that supplies a gas for film formation.
  • the gas supply mechanism 20 supplies a gas used when performing PEALD film formation, for example, a film formation source gas containing a constituent element of a film to be formed, a reaction gas that reacts with the film formation source gas, a purge gas, and the like.
  • the piping for supplying these gases is provided with flow controllers such as valves and a mass flow controller.
  • Various materials can be used as the film forming source gas and the reaction gas depending on the film to be formed.
  • the purge gas an inert gas, for example, a rare gas such as Ar gas or He gas, or N 2 gas can be used.
  • the film forming material gas and the reaction gas are alternately and intermittently supplied with the purge gas being supplied. Further, when the reaction gas reacts with the film forming raw material gas only in the presence of plasma, the reaction gas may be kept supplied during film formation.
  • a first high frequency power supply 26 is connected to the upper electrode 11 of the shower head 10 via a first matching unit 25, and high frequency power is supplied from the first high frequency power supply 26 to the upper electrode 11. Yes.
  • the frequency of the first high-frequency power supply 26 is preferably 450 kHz to 40 MHz, and a typical example is 13.56 MHz.
  • a ground line 17 is connected to the shower plate 12 and is grounded.
  • the upper electrode 11 and the shower plate 12 are insulated by an insulating member 13, and these constitute a pair of parallel plate electrodes.
  • the upper capacitive electrode 11, the shower plate 12, and the first high frequency power supply 26 constitute a first capacitively coupled plasma generating unit 30.
  • the first capacitively coupled plasma generating unit 30 by applying high frequency power to the first high frequency power supply 26, a high frequency electric field is formed between the upper electrode 11 and the shower plate 12, and the first capacitively coupled plasma generating unit 30 1 capacitively coupled plasma is generated.
  • the first matching unit 25 matches the load impedance to the internal (or output) impedance of the first high frequency power supply 26.
  • the first matching unit 25 functions so that the output impedance of the first high frequency power supply 26 and the load impedance seem to coincide when plasma is generated in the gas diffusion space 14.
  • the first capacitively coupled plasma generator 30 is configured as a remote plasma source for PEALD. That is, the reaction gas is dissociated by the first capacitively coupled plasma generated in the gas diffusion space 14, and radicals generated by dissociation mainly pass through the gas discharge holes 15 and are supplied to the substrate W on the stage 2. Then, it is used for film formation by PEALD. During PEALD, the first capacitively coupled plasma generating unit 30 intermittently ignites plasma and dissociates the reaction gas at a timing when the film forming source gas is not supplied.
  • the DC pulse application unit 70 includes a DC power source 71, a DC pulse switch 72, and a filter 73.
  • the DC pulse applying unit 70 may be used in place of the first high frequency power supply 26 or may be used in combination with the first high frequency power supply 26. When used together, the high frequency from the first high frequency power supply 26 and the DC pulse from the DC pulse applying unit 70 are superimposed. Since the DC pulse power supply 71 can apply a zero to positive pulse voltage or a zero to negative pulse voltage, it is possible to apply power in accordance with the characteristics (polarity) of the gas. For this reason, dissociation of gas can be promoted more.
  • an ion trap 28 for trapping ions in the plasma is provided. Since some of the ions in the plasma are deactivated in the gas discharge holes 15 of the shower plate 12, the ions supplied to the substrate W are few, but the ions passing through the shower plate 12 are further removed by the ion trap 28. be able to.
  • the ion trap 28 may be a conductor or an insulator, or may be one in which a conductor is embedded in the insulator. In the case of a conductor, it may be grounded or in a floating state. If there are not enough ions in the plasma passing through the shower plate 12, the ion trap 28 is unnecessary.
  • the electrode 31 is embedded inside the stage 2.
  • the electrode 31 is included in the stage 2.
  • stage 2 When stage 2 is a conductor, stage 2 itself functions as electrode 31.
  • a second high-frequency power source 33 is connected to the electrode 31 via a second matching unit 32 (see FIG. 1).
  • the frequency of the second high frequency power supply 33 is preferably 13.56 to 100 MHz, and typically 40 MHz.
  • the shower plate 12 and the electrode 31 in the stage 2 constitute a pair of parallel plate electrodes.
  • the shower plate 12, the electrode 31, and the second high frequency power source 33 constitute a second capacitively coupled plasma generating unit 40.
  • the second capacitively coupled plasma generating unit 40 In the second capacitively coupled plasma generating unit 40, a high frequency electric field is formed between the shower plate 12 and the electrode 31 by applying high frequency power from the second high frequency power source 33 to the electrode 31 of the stage 2, and these In the meantime, a second capacitively coupled plasma is generated. Then, ions in the plasma are drawn into the substrate W by a bias due to the application of high-frequency power, and ion-assisted etching is realized. That is, the second capacitively coupled plasma generation unit 40 is configured as a plasma source for etching.
  • the second matching unit 32 matches the load impedance with the internal (or output) impedance of the second high frequency power supply 33.
  • the second matching unit 32 functions so that the output impedance of the second high-frequency power source 33 and the load impedance seem to coincide when plasma is generated in the space between the shower plate 12 and the stage 2.
  • a third high frequency power source 37 may be connected to the electrode 31 via a third matching unit 36 in addition to the second high frequency power source 33.
  • the third high-frequency power source 37 has a function of applying a high-frequency bias to the substrate W to attract ions in the plasma to the substrate W and enhance the action of the ions.
  • the frequency of the third high frequency power supply 37 is smaller than the frequency of the second high frequency power supply 33 and is preferably 450 kHz to 13.56 MHz. A typical example is 13.56 MHz.
  • the third matching unit 36 has the same function as the second matching unit 32.
  • An exhaust port 51 is provided in the bottom wall of the chamber 1, and an exhaust pipe 52 is connected to the exhaust port 51.
  • An exhaust device 53 is connected to the exhaust pipe 52.
  • the exhaust device 53 includes an automatic pressure control valve and a vacuum pump, and the exhaust device 53 can maintain the inside of the chamber 1 at a predetermined degree of vacuum.
  • a loading / unloading port 57 for loading / unloading the substrate W to / from a vacuum transfer chamber (not shown) provided adjacent to the chamber 1 and a gate for opening / closing the loading / unloading port 57.
  • a valve 58 is provided on the side wall of the chamber 1.
  • the heater power supply, valves, flow rate controller, high frequency power supply and the like, which are components of the film forming apparatus 100, are controlled by the control unit 60.
  • the control unit 60 includes a main control unit having a computer (CPU) that actually performs these controls, an input device, an output device, a display device, and a storage device.
  • the storage device stores parameters of various processes executed by the film forming apparatus 100, and a storage medium storing a program for controlling the processes executed by the film forming apparatus 100, that is, a processing recipe. Is set.
  • the main control unit calls a predetermined processing recipe stored in the storage medium, and controls the film forming apparatus 100 to perform a predetermined process based on the processing recipe.
  • the gate valve 58 is opened, and the wafer W is loaded into the chamber 1 from the vacuum transfer chamber by the transfer device and placed on the stage 2. After retracting the transfer device, the gate valve 58 is closed.
  • purge gas is continuously supplied into the chamber 1, the inside of the chamber 1 is maintained at a predetermined reduced pressure state by the exhaust device 53, and the temperature of the stage 2 is controlled to a predetermined temperature by the heater 5.
  • the first capacitively coupled plasma generating unit 30 intermittently supplies the purge gas.
  • a first capacitively coupled plasma is generated, and a predetermined film is formed by PEALD.
  • a high frequency power is applied to the base plate 11 of the shower head 10 from the first high frequency power supply 26, so that a high frequency is generated between the upper electrode 11 and the shower plate 12.
  • create an electric field thereby, the first capacitively coupled plasma P1 is generated in the gas diffusion space 14, and the reactive gas is dissociated by the first capacitively coupled plasma P1.
  • the first capacitively coupled plasma P ⁇ b> 1 is generated as a remote plasma, and mainly radicals of the reactive gas that have passed through the gas discharge holes 15 are supplied to the substrate W on the stage 2.
  • step S1 the process of supplying the film forming material gas to the substrate (step S1) is intermittently performed while the purge gas is continuously supplied, and the first capacitive coupling is performed.
  • step S2 A step (Step S2) of dissociating the reaction gas by the plasma P1 and supplying radicals generated thereby to the substrate W (Step S2) is intermittently performed between Steps S1.
  • step S3 the purge gas
  • the dissociation of the reactive gas is promoted by the first capacitively coupled plasma P1, and ions in this plasma are deactivated in the gas discharge holes 15 of the shower plate 12 and further removed by the ion trap 28, so Is mainly supplied with radicals of the reaction gas. Further, the amount of passing ions can be controlled by the impedance adjustment circuit 29. For this reason, mainly the radicals of the reaction gas react with the film forming source gas, and a predetermined film is formed on the substrate W. Therefore, it is possible to realize a good film mainly composed of radicals, free from ion damage or ion-controlled.
  • a compound film of SiO 2 , TiO 2 , TiN, SiN, TaN, BN, or the like can be formed by using an oxidizing agent, a nitriding agent, or the like as a reaction gas. Further, by using a reducing gas such as H 2 gas as a reactive gas, a metal film such as Ti, Ta, W, Si, etc. can be formed.
  • a reducing gas such as H 2 gas
  • the film forming source gas include chlorides, hydrides, and organic compounds.
  • the reaction gas may be supplied only at the generation timing of the plasma, or when the reaction gas reacts with the film forming raw material gas only in the presence of the plasma, the reaction gas may be supplied.
  • the deposition source gas is TiCl 4 gas and the reaction gas is O 2 gas
  • the supply of TiCl 4 gas and the generation of plasma are alternately performed while the O 2 gas is supplied together with the purge gas.
  • a TiO 2 film is formed.
  • plasma is generated by the second capacitively coupled plasma generation unit 40 at a predetermined timing during the film formation by PEALD, and the film formed on the substrate W is mainly etched by ion assist.
  • the process to perform is implemented.
  • a high frequency electric field is applied between the shower plate 12 and the electrode 31 by applying high frequency power from the second high frequency power supply 33 to the electrode 31 in the stage 2 as shown in FIG. Form.
  • the second capacitively coupled plasma P2 is generated in the space between the shower plate 12 and the stage 2, and the substrate W is subjected to an etching action with the assistance of ions.
  • the counter electrode of the stage 2 (electrode 31), which is the lower electrode, is the shower plate 12, and the upper electrode 11 to which the first high-frequency power is applied cannot be seen from the stage 2, so the upper first matching device 25
  • the second capacitively coupled plasma P2 can be generated independently regardless of the presence of the first capacitively coupled plasma P1.
  • the second capacitively coupled plasma P2 is generated so as to be in contact with the substrate W, and an efficient etching characteristic is obtained.
  • Such second capacitively-coupled plasma P2 can exert an ion-assisted etching action on the substrate W during PEALD film formation, and the following effects [1] to [4] can be obtained. .
  • the conventional PEALD film formation is as shown in FIG. That is, when the film 203 is formed by PEALD on the substrate W on which the concave portion 202 is formed, the film may be excessively deposited at the opening of the concave portion 202 as shown in FIG. In such a case, when the film formation proceeds, as shown in FIG. 5B, an overhang portion 204 of the film 203 is formed at the front opening, the front opening is closed, and gas supply to the bottom of the concave portion 202 is hindered. For this reason, at the time when the embedding of the film 203 into the concave portion 202 is completed, the void 205 may remain as shown in FIG.
  • the second capacitively-coupled plasma P2 is generated to exert an etching action by the ion assist on the substrate W.
  • the overhang portion 204 of 203 is removed (see (b)). Thereby, the gas supply to the bottom part of the recessed part 202 is not prevented and embedding without a void is implement
  • the process of dissociating the reactive gas by the first capacitively coupled plasma P1 for film formation is performed at the same timing as in FIG. 5, and the second capacitively coupled plasma P2 that is etched at the same timing is used.
  • a generating step is performed. Thereby, the balance between film formation and etching can be adjusted simultaneously.
  • the process of dissociating the reactive gas by the first capacitively coupled plasma P1 for forming the film is performed at the same timing as in FIG. 5, and the process of generating the second capacitively coupled plasma P2 for performing the etching This is performed at a part of the timing of the step of dissociating the reactive gas by the first capacitively coupled plasma P1.
  • the etching action can be exerted at a predetermined timing before the opening of the recess is closed.
  • the step of dissociating the reactive gas by the first capacitively coupled plasma P1 for film formation is performed at the same timing as in FIG. 5, and part of the generation timing of the first capacitively coupled plasma P1 is performed. Then, the second capacitively coupled plasma P2 to be etched is replaced. That is, the step of dissociating the reactive gas with the first capacitively coupled plasma P1 and the step of generating the second capacitively coupled plasma P2 are performed independently. Thus, etching is performed with the second capacitively coupled plasma P2 at a predetermined timing during film formation using the first capacitively coupled plasma P1, for example, before the opening of the recess is closed. Can do.
  • the film forming apparatus 100 of the present embodiment uses the shower plate 12 as one of the counter electrodes, and the first capacitively coupled plasma generating unit 30 and the second capable of generating plasma independently.
  • a capacitively coupled plasma generation unit 40 is provided.
  • the upper first capacitively coupled plasma generating unit 30 generates plasma for film formation
  • the lower second capacitively coupled plasma generating unit 40 mainly performs ion-assisted etching. Can generate plasma.
  • a conventional PEALD film forming apparatus as described in Patent Document 1 uses a shower head and a susceptor as a pair of parallel plate electrodes, and generates capacitively coupled plasma between them by applying high-frequency power to the shower head. It was possible. Then, with the film forming apparatus having such a configuration, film formation by PEALD is performed in the same process as in the present embodiment. However, since capacitively coupled plasma can cope with fast gas replacement of ALD and plasma ignition is fast, it is suitable for PEALD. Recently, however, miniaturization of devices such as semiconductor memory has been increasingly advanced. In PEALD, there are cases where the response to miniaturization is not always sufficient.
  • Patent Document 2 in order to embed in a fine trench, high-density plasma such as ICP type or ECR type is generated and a bias is applied to the substrate side so that film formation by radicals and etching by ions are performed.
  • An HDP-CVD method that proceeds simultaneously has been proposed. That is, it corresponds to miniaturization by etching with ions.
  • the capacitively coupled plasma suitable for PEALD is used with a simple apparatus configuration, and the film forming plasma and the etching plasma are controlled independently, thereby providing a semiconductor. Film formation corresponding to further miniaturization of devices can be performed.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)
PCT/JP2019/015389 2018-05-21 2019-04-09 成膜装置および成膜方法 Ceased WO2019225184A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201980031668.3A CN112135925B (zh) 2018-05-21 2019-04-09 成膜装置和成膜方法
US17/056,342 US11578407B2 (en) 2018-05-21 2019-04-09 Film-forming apparatus and film-forming method
KR1020207035556A KR102571839B1 (ko) 2018-05-21 2019-04-09 성막 장치 및 성막 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-097404 2018-05-21
JP2018097404A JP7126381B2 (ja) 2018-05-21 2018-05-21 成膜装置および成膜方法

Publications (1)

Publication Number Publication Date
WO2019225184A1 true WO2019225184A1 (ja) 2019-11-28

Family

ID=68616320

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2019/015389 Ceased WO2019225184A1 (ja) 2018-05-21 2019-04-09 成膜装置および成膜方法

Country Status (6)

Country Link
US (1) US11578407B2 (enExample)
JP (1) JP7126381B2 (enExample)
KR (1) KR102571839B1 (enExample)
CN (1) CN112135925B (enExample)
TW (1) TW202006174A (enExample)
WO (1) WO2019225184A1 (enExample)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
JP2023098595A (ja) * 2021-12-28 2023-07-10 セメス カンパニー,リミテッド 基板処理装置及び基板処理方法
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
JP2024520296A (ja) * 2021-05-11 2024-05-24 ジュスン エンジニアリング カンパニー リミテッド 薄膜の形成方法
US12106938B2 (en) 2021-09-14 2024-10-01 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber
US12148595B2 (en) 2021-06-09 2024-11-19 Applied Materials, Inc. Plasma uniformity control in pulsed DC plasma chamber
US12198966B2 (en) 2017-09-20 2025-01-14 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US12272524B2 (en) 2022-09-19 2025-04-08 Applied Materials, Inc. Wideband variable impedance load for high volume manufacturing qualification and on-site diagnostics
US12315732B2 (en) 2022-06-10 2025-05-27 Applied Materials, Inc. Method and apparatus for etching a semiconductor substrate in a plasma etch chamber

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7413099B2 (ja) * 2020-03-16 2024-01-15 東京エレクトロン株式会社 成膜方法および成膜装置
WO2021246020A1 (ja) * 2020-06-01 2021-12-09 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN113755822B (zh) * 2020-06-04 2024-03-01 中国科学院微电子研究所 一种用于原子层沉积系统的平板式放电装置
JP7689417B2 (ja) * 2020-07-10 2025-06-06 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7662285B2 (ja) * 2021-03-02 2025-04-15 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム
JP7626546B2 (ja) * 2021-06-01 2025-02-04 東京エレクトロン株式会社 半導体装置の製造方法及び基板処理装置
KR102852461B1 (ko) * 2021-07-22 2025-09-01 세메스 주식회사 기판 처리 장치
JP7705787B2 (ja) 2021-11-26 2025-07-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2024030139A (ja) 2022-08-23 2024-03-07 東京エレクトロン株式会社 プラズマ処理を行う装置、及びプラズマ処理を行う方法
JP2024103289A (ja) 2023-01-20 2024-08-01 東京エレクトロン株式会社 プラズマ処理装置、およびプラズマ処理方法
JP2024106239A (ja) 2023-01-26 2024-08-07 東京エレクトロン株式会社 分散板、ガス供給機構及び基板処理装置
JP2024112103A (ja) * 2023-02-07 2024-08-20 東京エレクトロン株式会社 プラズマ処理を行う装置、及びプラズマ処理を行う方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012517711A (ja) * 2009-02-11 2012-08-02 スコット アレクサンダー ブッチャー ケネス マイグレーション及びプラズマ増強化学蒸着
JP2015099866A (ja) * 2013-11-20 2015-05-28 国立大学法人名古屋大学 Iii族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
JP2016167606A (ja) * 2010-08-04 2016-09-15 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法
JP2018011050A (ja) * 2016-06-30 2018-01-18 ラム リサーチ コーポレーションLam Research Corporation ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2018064058A (ja) * 2016-10-14 2018-04-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
WO2008010591A1 (en) * 2006-07-21 2008-01-24 Nec Corporation Method for forming porous insulating film
JP4799623B2 (ja) * 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP2012134288A (ja) 2010-12-21 2012-07-12 Elpida Memory Inc 半導体装置の製造方法
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN105122431A (zh) * 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6516542B2 (ja) 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR102834037B1 (ko) * 2018-11-05 2025-07-14 램 리써치 코포레이션 에칭 챔버의 방향성 증착

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012517711A (ja) * 2009-02-11 2012-08-02 スコット アレクサンダー ブッチャー ケネス マイグレーション及びプラズマ増強化学蒸着
JP2016167606A (ja) * 2010-08-04 2016-09-15 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法
JP2015099866A (ja) * 2013-11-20 2015-05-28 国立大学法人名古屋大学 Iii族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
JP2018011050A (ja) * 2016-06-30 2018-01-18 ラム リサーチ コーポレーションLam Research Corporation ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2018064058A (ja) * 2016-10-14 2018-04-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12198966B2 (en) 2017-09-20 2025-01-14 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US12057292B2 (en) 2019-01-22 2024-08-06 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11776789B2 (en) 2020-07-31 2023-10-03 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US12237148B2 (en) 2020-07-31 2025-02-25 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US12183557B2 (en) 2020-11-16 2024-12-31 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
JP2024520296A (ja) * 2021-05-11 2024-05-24 ジュスン エンジニアリング カンパニー リミテッド 薄膜の形成方法
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US12347647B2 (en) 2021-06-02 2025-07-01 Applied Materials, Inc. Plasma excitation with ion energy control
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US12148595B2 (en) 2021-06-09 2024-11-19 Applied Materials, Inc. Plasma uniformity control in pulsed DC plasma chamber
US12394596B2 (en) 2021-06-09 2025-08-19 Applied Materials, Inc. Plasma uniformity control in pulsed DC plasma chamber
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US12125673B2 (en) 2021-06-23 2024-10-22 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US12261019B2 (en) 2021-08-24 2025-03-25 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US12106938B2 (en) 2021-09-14 2024-10-01 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US12482633B2 (en) 2021-12-08 2025-11-25 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
JP2023098595A (ja) * 2021-12-28 2023-07-10 セメス カンパニー,リミテッド 基板処理装置及び基板処理方法
US12315699B2 (en) 2021-12-28 2025-05-27 Semes Co., Ltd. Substrate treating apparatus and substrate treating method
JP7554804B2 (ja) 2021-12-28 2024-09-20 セメス カンパニー,リミテッド 基板処理装置及び基板処理方法
US12368020B2 (en) 2022-06-08 2025-07-22 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US12315732B2 (en) 2022-06-10 2025-05-27 Applied Materials, Inc. Method and apparatus for etching a semiconductor substrate in a plasma etch chamber
US12272524B2 (en) 2022-09-19 2025-04-08 Applied Materials, Inc. Wideband variable impedance load for high volume manufacturing qualification and on-site diagnostics

Also Published As

Publication number Publication date
US11578407B2 (en) 2023-02-14
JP2019203155A (ja) 2019-11-28
JP7126381B2 (ja) 2022-08-26
CN112135925B (zh) 2023-05-23
TW202006174A (zh) 2020-02-01
KR20210006985A (ko) 2021-01-19
KR102571839B1 (ko) 2023-08-28
CN112135925A (zh) 2020-12-25
US20210222296A1 (en) 2021-07-22

Similar Documents

Publication Publication Date Title
WO2019225184A1 (ja) 成膜装置および成膜方法
KR100960162B1 (ko) 성막 처리 방법
JP5318562B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
KR101965154B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US12325919B2 (en) Apparatus for processing substrate
KR101759769B1 (ko) Ti막의 성막 방법
US9502236B2 (en) Substrate processing apparatus, non-transitory computer-readable recording medium and method of manufacturing semiconductor device
KR20150075362A (ko) 콘택트층의 형성 방법
JPWO2007125836A1 (ja) Ti膜の成膜方法
JP4810281B2 (ja) プラズマ処理装置
JPWO2007123212A1 (ja) Ti膜の成膜方法
JP2025515937A (ja) 原子層の容量結合プラズマ堆積のための方法
JPWO2007125837A1 (ja) Ti膜の成膜方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19808248

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20207035556

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19808248

Country of ref document: EP

Kind code of ref document: A1