WO2013154236A1 - Polishing slurry and method of polishing using the same - Google Patents

Polishing slurry and method of polishing using the same Download PDF

Info

Publication number
WO2013154236A1
WO2013154236A1 PCT/KR2012/007367 KR2012007367W WO2013154236A1 WO 2013154236 A1 WO2013154236 A1 WO 2013154236A1 KR 2012007367 W KR2012007367 W KR 2012007367W WO 2013154236 A1 WO2013154236 A1 WO 2013154236A1
Authority
WO
WIPO (PCT)
Prior art keywords
approximately
slurry
polishing
amount
tungsten
Prior art date
Application number
PCT/KR2012/007367
Other languages
English (en)
French (fr)
Other versions
WO2013154236A8 (en
Inventor
Jea Gun Park
Gon Sub Lee
Jin Hyung Park
Jae Hyung Lim
Jong Young Cho
Hee Sub Hwang
Hao Cui
Original Assignee
Ubprecision Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ubprecision Co., Ltd. filed Critical Ubprecision Co., Ltd.
Priority to JP2014539865A priority Critical patent/JP6030145B2/ja
Priority to CN201280065754.4A priority patent/CN104066807B/zh
Priority to US14/357,768 priority patent/US20140312266A1/en
Publication of WO2013154236A1 publication Critical patent/WO2013154236A1/en
Publication of WO2013154236A8 publication Critical patent/WO2013154236A8/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Definitions

  • the present disclosure relates to a polishing slurry used in a polishing process of a metal and a method of polishing using the same, and more particularly, to a polishing slurry used in a chemical mechanical polishing process in a semiconductor manufacturing process, especially in a planarization process of a tungsten metal layer and a method of polishing using the same.
  • planarization process examples include a method of reflowing after forming a deposition layer, an etch-back method or a chemical mechanical polishing (CMP) process after forming the deposition layer, etc.
  • CMP chemical mechanical polishing
  • the CMP process is a process of contacting a surface of a semiconductor wafer with a polishing pad, and polishing while rotating the polishing pad and applying a slurry including an abrasive and various compounds to planarize the surface of the wafer. That is, a surface of a substrate or a layer on the substrate is chemically and mechanically polished to be planarized by the slurry and the polishing pad.
  • the metal CMP process for polishing a metal is known to be performed by repeating a forming process of a metal oxide by an oxidizing agent and a removing process of thus formed metal oxide by the abrasive.
  • a tungsten CMP process for polishing tungsten widely used as a wiring of a semiconductor device is also performed by a repeated cyclic polishing mechanism of a forming process of tungsten oxide (W0 3 ) by using an oxidizing agent or an oxidation promoting agent, and a removing process of tungsten oxide by using an abrasive.
  • the formation of the tungsten oxide by adding the oxidizing agent and the efficient removing of the tungsten oxide by the abrasive are important.
  • colloidal silica the abrasive widely used con- ventionally, is not efficient in removing the tungsten oxide because a concentration dependency of the oxidizing agent is high.
  • defects such as dishing or erosion are frequently generated when polishing a substrate including a pattern such as a trench. Once the dishing or the erosion is generated, an operation property of a device would be negatively influenced including a malfunctioning of a subsequently manufactured device.
  • Korean Patent publication No. 10-0948814 discloses a method of performing a
  • polishing including two steps for decreasing the generation of the dishing and the erosion.
  • a plurality of slurry is required to be prepared and a plurality of process is required to be performed. Accordingly, the process is complicated and a productivity is decreased.
  • the present disclosure provides a slurry for polishing tungsten and a polishing
  • the present disclosure also provides a slurry for polishing tungsten having a good selectivity on tungsten with respect to an insulating layer and a polishing method of a substrate using the same.
  • the present disclosure further provides a slurry for polishing tungsten decreasing the generation of dishing and erosion and a polishing method of a substrate using the same.
  • the abrasive comprises an abrasive for performing the polishing and an oxidation promoting agent for promoting formation of an oxide.
  • the abrasive comprises particles of titanium oxide.
  • the amount of the titanium oxide may exceed approximately 0.2 wt% and approximately 10 wt% or less based on the total amount of the slurry.
  • the oxidation promoting agent may be one selected from the group consisting of ferric nitrate, potassium ferricyanide, iron chloride, iron sulfate, iron fluoride, iron bromide, copper chloride, copper fluoride, and copper bromide, and an amount of the oxidation promoting agent may be approximately 0.002 wt% to approximately 0.1 wt based on the total amount of the slurry.
  • the amount of titanium oxide may be approximately 0.7 wt% to approximately 5 wt% based on the total amount of the slurry.
  • the amount of the oxidation promoting agent may be ap- proximately 0.01 wt% to approximately 0.1 wt% based on the total amount of the slurry.
  • pH of the slurry may be controlled to approximately 1 to approximately 4.
  • the slurry may further include at least one oxidizing agent for forming an oxide selected from the group consisting of hydrogen peroxide, carbamide peroxide, ammonium persulfate, ammonium thiosulfate, sodium
  • hypochlorite, sodium periodate, sodium persulfate, potassium iodate, potassium per- chlorate, and potassium persulfate, and an amount of the oxidizing agent may be approximately 0.5 wt to less than approximately 5.0 wt based on the total amount of the slurry.
  • the amount of the oxidizing agent may be approximately 0.5 wt% to approximately 2 wt based on the total amount of the slurry.
  • the slurry may further include at least one selectivity
  • an amount of the selectivity improving agent may be approximately 0.05 wt to less than approximately 3.0 wt based on the total amount of the slurry.
  • the particles of titanium oxide may be formed to have a crystalline phase and a mean primary particle size may be approximately 10 nm to approximately 100 nm.
  • a slurry for polishing tungsten comprises particles of titanium oxide as an abrasive for performing polishing.
  • the particles of titanium oxide have a crystalline phase and at least a portion of the particles of titanium oxides has an anatase crystalline phase.
  • the particles of titanium oxide have a mean primary particle size of approximately 10 nm to approximately 100 nm.
  • the particles of titanium oxide may have a polyhedron shape.
  • the particles of titanium oxide may have the mean primary particle size of approximately 15 nm to less than approximately 50 nm.
  • the particles of titanium oxide may include the anatase crystalline phase and a rutile crystalline phase.
  • An amount of the anatase crystalline phase may exceed 50 based on 100 of a total of the anatase and the rutile crystalline phases.
  • an amount of the titanium oxide may exceed approximately 0.2 wt and approximately 10 wt or less based on the total amount of the slurry.
  • the slurry may further include an oxidation promoting agent for promoting forming an oxide and a pH adjusting agent.
  • a method of polishing a substrate comprises preparing a substrate including a tungsten layer formed thereon, preparing a first slurry comprising particles of titanium oxide as an abrasive, and a oxidation promoting agent, and polishing the tungsten layer while supplying the first slurry onto the substrate.
  • the polishing is performed by forming a tungsten oxide layer on an upper surface of the tungsten layer and then, polishing the tungsten layer and the tungsten oxide layer through a penetration of at least a portion of the particles of titanium oxide into the tungsten oxide layer.
  • an oxidizing agent may be supplied onto the substrate while supplying the first slurry onto the substrate.
  • a selectivity improving agent may be supplied onto the substrate while supplying the first slurry onto the substrate.
  • the selectivity improving agent or the oxidizing agent may be supplied onto the substrate through a separate inlet line from the first slurry.
  • the oxidation promoting agent may include iron nitrate and the oxidizing agent may be hydrogen peroxide.
  • a thickness of the tungsten oxide layer may be kept to a certain thickness while performing the polishing.
  • At least a portion of the particles of titanium oxide may directly contact the surface of the tungsten layer while performing the polishing.
  • the preparing of the substrate including the tungsten layer formed thereon may be performed by forming an insulating layer on the substrate, forming a trench in the insulating layer, and forming the tungsten layer on a whole surface of the insulating layer including the trench.
  • titanium oxide may be used as an
  • abrasive and a polishing process may be performed by directly contacting the titanium oxide onto the tungsten. Accordingly, a polishing efficiency with respect to tungsten may be largely increased and a polishing process exhibiting a high polishing selectivity on the tungsten with respect to an insulating layer may be accomplished.
  • the slurry in accordance with exemplary embodiments may remarkably decreased the generation of dishing and erosion generated during the conventional cyclic polishing. Particularly, a polishing process without generating the dishing may be accomplished.
  • the slurry in accordance with exemplary embodiments may be prepared by a simple method, and may efficiently polish tungsten through a simple CMP process. Accordingly, a device operating characteristic and reliability of semiconductor devices may be improved and a manufacturing productivity of the semiconductor devices may be improved.
  • FIGS, la to Id are photographic diagrams of the conventional polishing particles and polishing particles in accordance with an exemplary embodiment taken by using a transmission electron microscopy;
  • FIG. 2 is an X-ray diffraction analysis graph of polishing particles in accordance with an exemplary embodiment
  • FIGS. 3a to 3d illustrate conceptual diagrams showing a polishing process using the conventional polishing particles
  • FIGS. 4a and 4b illustrate conceptual diagrams showing a polishing process using polishing particles in accordance with an exemplary embodiment
  • FIGS. 5a to 5c illustrate graphs showing polishing results obtained by the conventional method and exemplary embodiments of the present inventive concept
  • FIG. 6 is a conceptual diagram illustrating a substrate including a pattern formed thereon
  • FIG. 7 is a conceptual diagram for explaining dishing and erosion generated during polishing a substrate including a pattern formed thereon;
  • FIG. 8 is a photographic diagram on a substrate including a pattern formed thereon in accordance with an exemplary embodiment taken by a scanning electron microscopy;
  • FIG. 9 is a graph illustrating polishing results obtained by the conventional method and an exemplary embodiment of the present inventive concept.
  • FIGS. 10a to lOd illustrate photographic diagrams showing polishing results obtained by the conventional method and taken by a scanning electron microscopy
  • FIGS. 1 la to l id illustrate photographic diagrams showing polishing results obtained in accordance with Embodiment 1 and taken by a scanning electron microscopy; and [50] FIGS 12a to 12d illustrate photographic diagrams showing polishing results obtained in accordance with Embodiment 2 and taken by a scanning electron microscopy.
  • a slurry in accordance with exemplary embodiments of the present inventive concept is a slurry for polishing tungsten and includes an abrasive for performing the polishing and an oxidation promoting agent for promoting the formation of an oxide.
  • the abrasive includes particles of titanium oxide.
  • the abrasive and the oxidation promoting agent are included in a solution.
  • the oxidation promoting agent dissolves in water, specifically in de-ionized (DI) water and the abrasive is dispersed in the water.
  • the sluny may include a pH adjusting agent to adjust the pH of the slurry.
  • the slurry has a dispersed state of a solid (abrasive) in a liquid and the amounts of each component are appropriately controlled.
  • the slurry other components separate from the first slurry including the above- described components, may be used separately during performing the polishing process. That is, an oxidizing agent, a selectivity improving agent stored in separate vessels from the first slurry may be included in the slurry.
  • Titanium oxide the abrasive, is present as solid particles and is prepared as a
  • Titanium oxide is an oxide compound of titanium metal and includes titanium dioxide (Ti0 2 ).
  • Ti0 2 titanium dioxide
  • the conventionally and widely used silica as the abrasive has an amorphous phase and the shape of the particle is spherical (refer to FIGS, la & lb), however, the titanium oxide polishing particles in accordance with an exemplary embodiment has a crystalline phase and has a polyhedron shape including a facet (refer to FIGS, lc & ID).
  • the particles of the titanium oxide has a crystalline phase. Since the polishing particles of the titanium oxide has the crystalline phase and the facet, the polishing of tungsten may be efficiently performed. Detailed description concerning above will be given hereinafter.
  • the mean primary particle size of the polishing particles of the titanium oxide is in a range of approximately 10 nm to approximately 100 nm.
  • the mean size of the polishing particles of the titanium oxide is less than approximately 10 nm, a polishing rate is low and the polishing of the tungsten becomes difficult, and when the mean size of the polishing particles of the titanium oxide exceeds approximately 100 nm, scratches may be generated during performing the polishing.
  • the mean primary particle size of the polishing particles of the titanium oxide may be in a range of approximately 15 nm to approximately less than approximately 50 nm. Within the range, the concentration of the polishing particles may be optimized to achieve a high polishing ratio while minimizing the generation of the scratches.
  • the polishing particles of the titanium oxide includes an anatase crystalline phase and the polishing particles may include the anatase crystalline phase much more than other crystalline phases.
  • the polishing particles of the titanium oxide includes the anatase phase and a rutile phase, and may include the anatase phase much more than the rutile phase.
  • the amount of the polishing particles having the anatase phase may be 55 or more.
  • the titanium oxide including a rutile type stable at a high temperature, an anatase type stable at a low temperature, and a brookite type stable at a medium temperature.
  • the rutile type has a good chemical resistance and is strong with respect to heat, however, has a hardness of approximately 6 to approximately 6.5 larger than that of the anatase type and is difficult to prepare.
  • the anatase type is easy to prepare and has a smaller hardness of approximately 5.5 to approximately 6 when compared to the rutile type.
  • the hardness of a tungsten oxide layer formed at a surface portion thereof during polishing the tungsten is approximately 5 to approximately 6 and the hardness of a silicon oxide layer as an insulating layer, underlying tungsten is approximately 6 to approximately 7.
  • the polishing particles having a high hardness that is, the rutile type is desired.
  • the polishing particles include only the rutile type, the polishing rate is too high and so scratches are generated.
  • a large portion of the silicon oxide layer may be removed to generate erosion.
  • the anatase type polishing particles having a relatively low hardness when compared to the rutile type but having a sufficient hardness for polishing the tungsten oxide layer are desired to be used as the polishing particles.
  • the generation of the scratches is remarkably reduced, the polishing ratio with respect to the silicon oxide layer is decreased to restrain the generation of the erosion when the polishing particles include approximately 55 or more anatase type.
  • an amount of the polishing particles of the titanium oxide may be in a range of over approximately 0.2 wt to approximately 10 wt% based on the total amount of the slurry.
  • the polishing ratio may be too low and the polishing becomes difficult and when the amount exceeds approximately 10 wt%, a dispersing stability of the particles may be deteriorated and the size of the secondary particles may become excessively large.
  • the amount of the polishing particles of the titanium oxide may be approximately 0.7 wt% to approximately 5 wt% based on the total amount of the slurry and may desirably be approximately 1.0 wt% to ap- proximately 2.0 wt . With the amount of approximately 0.7 wt% to approximately 5 wt%, the tungsten polishing rate may be good and the dispersing stability may be confirmed, and with the amount of approximately 1.0 wt% to approximately 2.0 wt%, the polishing rate of the tungsten may be better.
  • the oxidation promoting agent is a component to promote the oxidation of the
  • ferric nitrate Fe(N0 3 ) 3
  • potassium ferricyanide iron (III) chloride, iron (III) sulfate, iron (III) fluoride, iron (III) bromide, copper (II) chloride, copper (II) fluoride, and copper (II) bromide.
  • ferric nitrate will be mainly used in this application.
  • the tungsten may be polished without applying the oxidation promoting agent, however, the polishing rate may be very low.
  • the ferric nitrate oxidation promoting agent dissolves and is present in ultra-pure water.
  • the amount of the ferric nitrate may be in a range of approximately 0.002 wt% to approximately 0.1 wt% based on the total amount of the slurry. When the amount of the ferric nitrate is less than approximately 0.002 wt%, the polishing rate is too low and the polishing becomes difficult. When the amount of the ferric nitrate exceeds approximately 0.1 wt%, the color of the slurry and a polishing pad may be changed.
  • the amount of the ferric nitrate may be approximately 0.01 wt% to approximately 0.1 wt% based on the total amount of the slurry, and may be desirably approximately 0.05 wt% to approximately 0.1 wt%.
  • the polishing ratio of the tungsten is good and within the range from approximately 0.05 wt% to approximately 0.1 wt , a slurry having an optimized concentration of the ferric nitrate may be prepared to generate very low degree of dishing.
  • the oxidizing agent is a component to oxide the surface of the tungsten layer and includes at least one selected from the group consisting of hydrogen peroxide (H 2 0 2 ), carbamide peroxide, ammonium persulfate, ammonium thiosulfate, sodium
  • the hydrogen peroxide is mainly used in this application.
  • the tungsten may be polished without using the oxidizing agent, however, the polishing rated may be very low.
  • the amount of the hydrogen peroxide as the oxidizing agent may be in a range of approximately 0.5 wt% to less than approximately 5.0 wt% based on the total amount of the slurry. When the amount of the hydrogen peroxide is less than approximately 0.5 wt , the polishing rate is too low and the polishing becomes difficult and when the amount exceeds approximately 5.0 wt , bubbles start to form.
  • the amount of the hydrogen peroxide may be in a range of approximately 0.5 wt% to approximately 2.0 wt% based on the total amount of the slurry and may be desirably in a range of approximately 1 wt% to approximately 2 wt%.
  • the polishing rate of the tungsten is appropriate and within the range of approximately 1 wt% to approximately 2 wt%, the slurry includes the hydrogen peroxide in an optimized concentration and generates very small degree of dishing.
  • the operations of the oxidizing agent and the oxidation promoting agent are not sometimes differentiated from each other and both of them contribute to the oxidation of the surface of the tungsten.
  • the selectivity improving agent contributes to the increase of the ratio of the
  • the selectivity improving agent includes at least one selected from the group consisting of polyvinyl pyrrolidone (PVP), vinyl pyridine and vinyl pyrrolidone.
  • PVP polyvinyl pyrrolidone
  • the PVP is mainly used in this application.
  • the amount of the PVP may be in a range of approximately 0.05 wt% to less than approximately 3.0 wt based on the total amount of the slurry.
  • the amount of the PVP may be in a range of approximately 0.05 wt% to approximately 1.0 wt% based on the total amount of the slurry, and may be desirably in a range of approximately 0.05 wt% to approximately 0.1 wt%.
  • the polishing rate of the tungsten is appropriate and within the amount range of approximately 0.05 wt% to approximately 0.1 wt%, the polishing selectivity is good and dishing is rarely generated.
  • the pH adjusting agent is added to adjust the pH of the slurry and includes an acid compound such as nitric acid. A small amount of the pH adjusting agent is used and the pH of the slurry is adjusted to the value of approximately 1 to approximately 4.
  • the slurry including the titanium oxide as described above illustrates quite different mechanism from the conventional slurry.
  • a polishing mechanism will be described in detail below.
  • Colloidal silica has been widely and generally used as the abrasive for polishing
  • tungsten When a tungsten layer is polished using a slurry including the colloidal silica, a cyclic polishing as illustrated in the conceptual diagrams in FIGS. 3a to 3d is known to be performed.
  • a silicon oxide layer 110 and a tungsten layer 120 are formed on a substrate and then are polished, a tungsten oxide layer 130 is formed on the tungsten layer 120 and an abrasive of colloidal silica 200 contacts the tungsten oxide layer 130 to start the polishing.
  • the silica particles 200 are charged with minus and have an amorphous spherical shape, and make a contact with the surface of the tungsten oxide layer 130 to polish the tungsten oxide layer 130 (FIG. 3a).
  • the polishing is performed until the tungsten oxide layer 130 having an initial layer thickness (H0-H1) is removed (FIG. 3b). Then, the tungsten oxide layer 130 is formed again on the surface of the exposed tungsten layer 120 and the silica particles 200 polish the tungsten oxide layer 130 again (FIG. 3c) until the tungsten oxide layer 130 having the second layer thickness (H1-H2) is removed (FIG. 3d). In this way, the formation and polishing of the tungsten oxide layer 130 is repeated cyclically, and the removing process of the tungsten layer 120 (thickness change HO ⁇ HI ⁇ H2) is conducted.
  • the spherical amorphous silica particles 200 polish the silicon oxide layer 110.
  • the properties of materials of the polishing particles 200 and the silicon oxide layer 110 are similar and the polishing rate is fast.
  • a polishing is performed using the slurry including titanium oxide in a quite different manner.
  • a silicon oxide layer 110 and a tungsten layer 120 are formed on a substrate and then a slurry is supplied to perform a CMP process.
  • a tungsten oxide layer 130 is formed on the tungsten layer 120 and at least a portion of the abrasive of the titanium oxide 300 penetrates into the inner portion of the tungsten oxide layer 130 to polish the tungsten layer 120 and the tungsten oxide layer 130.
  • the polishing particles of the titanium oxide 300 have a crystalline polyhedron shape and are charged with plus in an acidic pH region when the slurry includes a dispersing agent.
  • the tungsten oxide layer 130 is charged with minus in an acidic pH region when the slurry includes a dispersing agent. Accordingly, the polishing particles of the titanium oxide 300 charged with plus and having facets penetrate into the inner portion of the tungsten oxide layer 130 charged with minus and being relatively soft (hardness of approximately 5-6). A portion of the polishing particles 300 makes a direct contact with the tungsten layer 120 and the polishing is initiated and processed in this state.
  • the thickness of the tungsten oxide layer 130 is kept to a predetermined thickness ( ⁇ ) while performing the polishing.
  • the thickness of the tungsten oxide layer 130 is kept within a range of from approximately several A to several tens of A.
  • the polishing particles of titanium oxide 300 has a crystalline phase, is hard and has a wide contacting area and so, a mechanical polishing is performed more intensively than a chemical polishing and the polishing rate is very fast.
  • the polishing process is kept until the tungsten layer 120 is removed.
  • the crystalline polishing particles of titanium oxide 300 polish the silicon oxide layer 110.
  • the polishing particles of titanium oxide 300 have a lower hardness than the silicon oxide layer 110 and so, the polishing is processed slowly when comparing to the colloidal silica. Since the hardness of polishing particles of titanium oxide is approximately 5.5 to approximately 6.5 while the hardness of the silicon oxide layer 110 is approximately 6 to approximately 7, a polishing ability during polishing the silicon oxide layer using the polishing particles of titanium oxide is decreased. The decrease of the polishing ability reduces the generation of an erosion phenomenon due to an excessive polishing of the silicon oxide layer.
  • the slurry of Comparative embodiment was prepared to include approximately 1 wt% of colloidal silica, approximately 0.1 wt% of ferric nitrate and approximately 2 wt% of hydrogen peroxide
  • the slurry of Embodiment 1 was prepared to include approximately 1 wt of titanium oxide, approximately 0.1 wt of ferric nitrate and approximately 2 wt% of hydrogen peroxide
  • the slurry of Embodiment 2 was prepared to include approximately 1 wt% of titanium oxide, approximately 0.1 wt of ferric nitrate, approximately 2 wt% of hydrogen peroxide and approximately 0.05 wt% of PVP.
  • An object to be polished included a tungsten wafer obtained by depositing a silicon oxide layer as an insulating layer and titanium nitride to a thickness of approximately
  • PETEOS silicon oxide layer wafer obtained by depositing a silicon oxide layer (will be referred to "PETEOS" hereinafter) by using tetraethyl or- thosilicate (TEOS) as a raw material and by a plasma deposition method, to a thickness of approximately 7,000 A on a wafer.
  • PETEOS tetraethyl or- thosilicate
  • a polishing apparatus poli-762 apparatus of G & P Tech Co. was used and as a polishing pad, IC 1000/Suba IV CMP pad of Rohm & Haas Co. was used.
  • FIGS. 5a to 5c illustrate graphs showing thicknesses of the tungsten layer before and after performing the polishing in accordance with positions of a substrate and polishing rate obtained by the conventional method and exemplary embodiments of the present inventive concept.
  • the polishing rate of the tungsten layer is remarkably improved while the polishing rate of the silicon oxide layer is decreased in exemplary embodiments when comparing to those obtained by using the conventional colloidal silica as the abrasive. Accordingly, the polishing selectivity of the tungsten and the silicon oxide layer increases. In Embodiment 2 additionally including a selectivity improving agent, the polishing rate of the silicon oxide layer is even further decreased and the selectivity of the tungsten and the silicon oxide layer is increased much more.
  • the polished thickness is different in accordance with the position of the object to be polished when the conventional colloidal silica is used as the abrasive and a polishing uniformity (approximately 7%) is not good (FIG. 5a).
  • the difference in polished thickness in accordance with the position of the object to be polished is decreased when titanium oxide is used as the abrasive in exemplary embodiments and a polishing uniformity is improved (FIGS. 5b & 5c). Par- ticularly, the polishing uniformity is increased even further by approximately 1.5% in Embodiment 2, in which the selectivity improving agent is additionally used.
  • a polishing process was performed with respect to a substrate including a pattern such as a trench, etc., formed thereon.
  • a substrate including a pattern is prepared as an object to be polished.
  • a silicon oxide layer 110 is formed as an insulating layer on a silicon substrate 100 and a trench 111 is formed in the silicon oxide layer 110.
  • a titanium nitride layer 121 and a tungsten layer 120 are formed on the whole surface. For example, as illustrated in cross-sectional photographic diagram taken by a scanning electron microscopy in FIG.
  • a PETEOS layer is formed as the silicon oxide layer on the silicon wafer, and trenches having a pattern width and a depth of approximately 90 nm and approximately 190-220 nm, respectively, are formed in the PETEOS layer. Then, on the whole surface including the trenches, titanium nitride is deposited to a thickness of approximately 200 A and a tungsten layer is deposited to a thickness of approximately 3,000 A to prepare the wafer to be used.
  • the polishing apparatus and the polishing condition are the same as described above.
  • the dishing means a phenomenon of being caved in concavely when the inner portion of the tungsten layer 120 is over etched after performing the polishing process (refer to FIG. 7, D).
  • the erosion means a degree of a step generated between a metal region and an insulating layer region not including a metal. That is, the erosion means the over etched degree of the insulating layer from an initial insulating layer (dotted lined position) (refer to FIG. 7, E).
  • FIG. 9 is a graph illustrating polishing results on amounts of dishing and erosion evaluated and obtained after polishing the substrate including the pattern by using each of the slurries.
  • FIGS. 10a to 12d illustrate photographic diagrams on the cross-section taken by a scanning electron microscopy after polishing the substrate including the pattern using the slurry obtained by the Comparative embodiment, Embodiment 1 and Embodiment 2. In order to observe the generating degrees of the dishing and the erosion and the relation between them for each of the slurries, an over etching was performed until the erosion was generated.
  • the amount of the dishing was rapidly increased as the amount of the erosion increased in Comparative embodiment as illustrated in FIGS. 9 and 10a to lOd.
  • the amount of the dishing was up to approximately 60 A when the amount of the erosion was up to approximately 300 A in Embodiments 1 & 2 using the titanium oxide abrasive as illustrated in FIGS. 9, 1 la to l id, and 12a to 12d.
  • the amount of the dishing was not much increased but to the extent of approximately 100 A even though the amount of the erosion increased.
  • the generation of the dishing was rarely confirmed even though the erosion increased in Embodiment 2 additionally including the selectivity improving agent, PVP.
  • the polishing rate or an etching selectivity was good and the polishing property on the substrate including the pattern also was good when the titanium oxide abrasive was used instead of the colloidal silica abrasive.
  • the selectivity improving agent, PVP was added, the polishing ratio was somewhat lowered, however, the etching selectivity was very good.
  • defects including the dishing were rarely found in the evaluation of the polishing of the substrate including the pattern.
  • a preparing process of the slurry is not significantly different from the preparing process of a commonly used slurry and so, will be described in brief.
  • a vessel for preparing the slurry is prepared and a desired amount of the polishing particles of titanium oxide controlled to a desired state is weighed and added into the vessel.
  • ultra-pure water is added into the vessel to disperse the polishing particles of titanium oxide into the ultra-pure water.
  • a desired amount of a ferric nitrate dissolved ultra-pure water solution is added into the vessel and then is stirred homogeneously.
  • a pH adjusting agent such as nitric acid, etc. is added into the vessel and mixed to obtain a first slurry.
  • Hydrogen peroxide and a selectivity improving agent are prepared in separate vessels and are supplied to an object to be polished with the first slurry while performing polishing. The amounts of the hydrogen peroxide and the selectivity improving agent may be controlled and supplied.
  • the amount added of the titanium oxide polishing particles may be in a range of exceeding approximately 0.2 wt to approximately 10 wt% based on the total amount of the slurry.
  • the amount of the titanium oxide polishing particles is less than or equal to approximately 0.2 wt , the polishing rate of tungsten is too low and less than or equal to approximately 249.5 A/min and the polishing of the tungsten becomes difficult.
  • the amount of the polishing particles exceeds approximately 10 wt , a solid content is increased, a dispersing stability of particles is deteriorated, and the size of secondary particles grows excessively large.
  • the amount of the titanium oxide polishing particles may be approximately 0.7 wt% to approximately 5 wt% based on the total amount of the slurry and desirably may be approximately 1.0 wt% to approximately 2.0 wt%.
  • the polishing rate of the tungsten may be good and approximately 2,500 A/min or more and a dispersing stability may be confirmed.
  • the polishing rate of the tungsten may be very good and may be kept to approximately 3,700 A/min to approximately 3,800 A/min, and a stable CMP process may become possible.
  • the amount added of the hydrogen peroxide may be in a range of approximately 0.5 wt% to approximately 5.0 wt based on the total amount of the slurry.
  • the polishing rate of the tungsten may be too low and the polishing of the tungsten may become difficult.
  • the polishing of the tungsten may be performed without using the hydrogen peroxide, however, the polishing rate may be too low and approximately 182.8 A/min. In this case, the confirmation of the productivity may be difficult.
  • bubbles may start to be generated.
  • the polishing rate may be very good and approximately 5,826.4 A/min. However, a vigorous reaction with ferric nitrate was carried out and the starting of bubbling was observed.
  • the amount of the hydrogen peroxide may be approximately 0.5 wt% to approximately 2.0 wt% based on the total amount of the slurry, and desirably may be approximately 1 wt% to approximately 2 wt%. Within the amount of the hydrogen peroxide in the range of approximately 0.5 wt% to approximately 2.0 wt%, the polishing rate of the tungsten was appropriate and ap- proximately 1,300 A/min to approximately 3,700 A/min. Within the amount of the hydrogen peroxide in a range of approximately 1 wt% to approximately 2 wt%, the concentration was optimal and the generation of the dishing was quite small.
  • a polishing process was performed with respect to tungsten and a silicon oxide layer in accordance with the method described above, while changing the amount of ferric nitrate as the oxidation promoting agent.
  • results are illustrated in Table 4.
  • the amount of titanium oxide was approximately 1.0 wt% and the amount of hydrogen peroxide was approximately 2 wt% based on the total amount of the slurry.
  • the polishing apparatus and the polishing condition were the same as described above.
  • the amount of the ferric nitrate may be in a range of from approximately 0.002 wt% to approximately 0.1 wt based on the total amount of the slurry.
  • the polishing rate of the tungsten may be too low and the performing of the tungsten CMP process may become difficult.
  • the polishing of the tungsten may be possible without using the ferric nitrate.
  • the polishing rate may be too low and ap- proximately 163.9 A/min and so, the confirmation of the productivity may be difficult.
  • the polishing pad may be discolored.
  • the amount of the ferric nitrate may be in a range of from approximately 0.01 wt to approximately 0.1 wt% based on the total amount of the slurry, and may be in a range of from approximately 0.05 wt to approximately 0.1 wt .
  • the polishing rate of the tungsten may be good and in a range of from approximately 2,700 A/min to approximately 3,700 A/min.
  • the concentration of the ferric nitrate may be optimized and the generation of the dishing may be very low.
  • a polishing process was performed with respect to tungsten and a silicon oxide layer in accordance with the method described above, while changing the amount of PVP as the selectivity improving agent.
  • results are illustrated in Table 5.
  • the amount of titanium oxide was approximately 1.0 wt%
  • the amount of hydrogen peroxide was approximately 2 wt
  • the amount of ferric nitrate was approximately 0.1 wt% based on the total amount of the slurry.
  • the polishing apparatus and the polishing condition were the same as described above.
  • the amount of the PVP may be from approximately 0.05 wt% to approximately 3.0 wt%.
  • dishing may be generated largely and when the amount exceeds approximately 3.0 wt%, the polishing rate of the tungsten (approximately 153 A/min) may be very low and the performing of the CMP process may become difficult.
  • the amount of the PVP may be in a range of from approximately 0.05 wt% to approximately 1.0 wt% and may be in a range of from approximately 0.05 wt% to approximately 0.1 wt .
  • the polishing rate of the tungsten may be appropriate and in a range of from approximately 1,400 A/min to approximately 2,800 A/min and the polishing selectivity may be good.
  • the polishing selectivity may be approximately 500 or more and the generation of the dishing may be rare.
  • substrate 110 silicon oxide layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
PCT/KR2012/007367 2012-04-13 2012-09-14 Polishing slurry and method of polishing using the same WO2013154236A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2014539865A JP6030145B2 (ja) 2012-04-13 2012-09-14 研磨用スラリー及びこれを用いた基板の研磨方法
CN201280065754.4A CN104066807B (zh) 2012-04-13 2012-09-14 研磨浆料及使用其的研磨方法
US14/357,768 US20140312266A1 (en) 2012-04-13 2012-09-14 Polishing slurry and method of polishing using the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020120038419A KR101257336B1 (ko) 2012-04-13 2012-04-13 연마용 슬러리 및 이를 이용한 기판 연마 방법
KR10-2012-0038419 2012-04-13

Publications (2)

Publication Number Publication Date
WO2013154236A1 true WO2013154236A1 (en) 2013-10-17
WO2013154236A8 WO2013154236A8 (en) 2014-04-03

Family

ID=48443660

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2012/007367 WO2013154236A1 (en) 2012-04-13 2012-09-14 Polishing slurry and method of polishing using the same

Country Status (6)

Country Link
US (1) US20140312266A1 (zh)
JP (1) JP6030145B2 (zh)
KR (1) KR101257336B1 (zh)
CN (1) CN104066807B (zh)
TW (1) TWI608061B (zh)
WO (1) WO2013154236A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106661429A (zh) * 2014-08-26 2017-05-10 凯斯科技股份有限公司 抛光浆料组合物

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104919575B (zh) * 2013-01-11 2018-09-18 应用材料公司 化学机械抛光设备及方法
KR101682097B1 (ko) * 2014-08-26 2016-12-02 주식회사 케이씨텍 연마 슬러리 조성물
WO2016032145A1 (ko) * 2014-08-26 2016-03-03 주식회사 케이씨텍 연마 슬러리 조성물
KR101834418B1 (ko) * 2015-10-02 2018-03-05 유비머트리얼즈주식회사 슬러리 및 이를 이용한 기판 연마 방법
CN107914211A (zh) * 2016-10-11 2018-04-17 中芯国际集成电路制造(上海)有限公司 一种化学机械研磨方法
US10647887B2 (en) * 2018-01-08 2020-05-12 Cabot Microelectronics Corporation Tungsten buff polishing compositions with improved topography
JP7368997B2 (ja) * 2019-09-30 2023-10-25 株式会社フジミインコーポレーテッド 研磨用組成物
KR102415203B1 (ko) * 2020-08-24 2022-06-30 에스케이씨솔믹스 주식회사 연마패드 및 이를 이용한 반도체 소자의 제조방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030063763A (ko) * 2002-01-24 2003-07-31 한국과학기술연구원 텅스텐 씨엠피용 슬러리
KR100600598B1 (ko) * 2003-10-02 2006-07-13 제일모직주식회사 텅스텐 배선 연마용 슬러리 조성물
KR20060099313A (ko) * 2005-03-11 2006-09-19 삼성전자주식회사 산화막 연마억제제를 함유하는 화학 기계 연마 슬러리
US7118686B2 (en) * 2000-08-31 2006-10-10 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US7132058B2 (en) * 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US7803711B2 (en) * 2007-09-18 2010-09-28 Cabot Microelectronics Corporation Low pH barrier slurry based on titanium dioxide

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US6152976A (en) * 1996-08-30 2000-11-28 Showa Denko Kabushiki Kaisha Abrasive composition for disc substrate, and process for polishing disc substrate
JPH10121035A (ja) * 1996-08-30 1998-05-12 Showa Denko Kk 磁気ディスク基板研磨用組成物
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6083419A (en) * 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
TW581803B (en) * 1999-06-28 2004-04-01 Showa Denko Kk Composition for polishing substrate for magnetic disk and method for producing substrate for magnetic disk
US6478837B1 (en) * 1999-06-28 2002-11-12 Showa Denko K.K. Abrasive composition substrate for magnetic recording disks and process for producing substrates for magnetic recording disk
US6280490B1 (en) * 1999-09-27 2001-08-28 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
CN1218004C (zh) * 1999-12-27 2005-09-07 太凤工业株式会社 硬质表面处理剂、防污处理剂及表面处理方法
JP2001269859A (ja) * 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
JP2002003825A (ja) * 2000-06-21 2002-01-09 Asahi Kasei Corp 光活性を有する半導体基板金属膜研磨用組成物
JP2002009023A (ja) * 2000-06-21 2002-01-11 Asahi Kasei Corp 半導体基板金属膜研磨用組成物
JP2002173669A (ja) * 2000-12-05 2002-06-21 Asahi Kasei Corp 半導体基板上金属膜研磨用スラリー
JP4003116B2 (ja) * 2001-11-28 2007-11-07 株式会社フジミインコーポレーテッド 磁気ディスク用基板の研磨用組成物及びそれを用いた研磨方法
US20030139047A1 (en) * 2002-01-24 2003-07-24 Thomas Terence M. Metal polishing slurry having a static etch inhibitor and method of formulation
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
TWI288772B (en) * 2003-01-24 2007-10-21 Rohm & Haas Elect Mat Tungsten polishing solution
US7172493B2 (en) * 2003-11-24 2007-02-06 Nikon Corporation Fine force actuator assembly for chemical mechanical polishing apparatuses
US20050194562A1 (en) * 2004-02-23 2005-09-08 Lavoie Raymond L.Jr. Polishing compositions for controlling metal interconnect removal rate in semiconductor wafers
US20070075042A1 (en) * 2005-10-05 2007-04-05 Siddiqui Junaid A Stabilizer-Fenton's reaction metal-vinyl pyridine polymer-surface-modified chemical mechanical planarization composition and associated method
CN101724346A (zh) * 2008-10-10 2010-06-09 安集微电子(上海)有限公司 一种化学机械抛光液
JP2011014840A (ja) * 2009-07-06 2011-01-20 Adeka Corp Cmp用研磨組成物

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7118686B2 (en) * 2000-08-31 2006-10-10 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
KR20030063763A (ko) * 2002-01-24 2003-07-31 한국과학기술연구원 텅스텐 씨엠피용 슬러리
US7132058B2 (en) * 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
KR100600598B1 (ko) * 2003-10-02 2006-07-13 제일모직주식회사 텅스텐 배선 연마용 슬러리 조성물
KR20060099313A (ko) * 2005-03-11 2006-09-19 삼성전자주식회사 산화막 연마억제제를 함유하는 화학 기계 연마 슬러리
US7803711B2 (en) * 2007-09-18 2010-09-28 Cabot Microelectronics Corporation Low pH barrier slurry based on titanium dioxide

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106661429A (zh) * 2014-08-26 2017-05-10 凯斯科技股份有限公司 抛光浆料组合物
CN106661429B (zh) * 2014-08-26 2019-07-05 凯斯科技股份有限公司 抛光浆料组合物

Also Published As

Publication number Publication date
WO2013154236A8 (en) 2014-04-03
KR101257336B1 (ko) 2013-04-23
JP2015502417A (ja) 2015-01-22
CN104066807A (zh) 2014-09-24
TWI608061B (zh) 2017-12-11
TW201341490A (zh) 2013-10-16
US20140312266A1 (en) 2014-10-23
JP6030145B2 (ja) 2016-11-24
CN104066807B (zh) 2016-06-29

Similar Documents

Publication Publication Date Title
WO2013154236A1 (en) Polishing slurry and method of polishing using the same
JP3874068B2 (ja) 研磨用組成物
JP6940557B2 (ja) 酸化物エロージョン低減のためのタングステン化学機械研磨
US7153335B2 (en) Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
JP6082717B2 (ja) 研磨スラリー及びこれを用いた基板の研磨方法
JP6297083B2 (ja) 研磨スラリー及びこれを用いた基板の研磨方法
JP4167214B2 (ja) ビシン/トリシン含有組成物および化学的−機械的平面化のための方法
EP1660606A1 (en) Abrasive particles for chemical mechanical polishing
WO1999047618A1 (en) Chemical mechanical polishing slurry useful for copper substrates
WO1998044061A1 (en) Planarization composition for removing metal films
EP2069452B1 (en) Onium-containing cmp compositions and methods of use thereof
KR101834418B1 (ko) 슬러리 및 이를 이용한 기판 연마 방법
JP2008160112A (ja) 銅の化学機械平坦化用組成物
JP2022031272A (ja) タングステン化学機械研磨組成物
TWI646182B (zh) Metal film polishing slurry composition and method for reducing scratches generated when metal film is polished
KR101733162B1 (ko) 연마 슬러리 및 이를 이용한 기판 연마 방법
WO2008137053A1 (en) Cmp compositions containing a soluble peroxometalate complex and methods of use thereof
JP2006049479A (ja) 化学的機械研磨方法
WO2001030928A1 (en) Chemical mechanical polishing compositions and systems
KR101733164B1 (ko) 슬러리 및 이를 이용한 기판 연마 방법
CN112399999B (zh) 化学机械研磨组合物、化学机械研磨浆料及基板研磨方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12873983

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2014539865

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 14357768

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12873983

Country of ref document: EP

Kind code of ref document: A1