WO2013136882A1 - マスクブランク、及び転写用マスクの製造方法 - Google Patents

マスクブランク、及び転写用マスクの製造方法 Download PDF

Info

Publication number
WO2013136882A1
WO2013136882A1 PCT/JP2013/052802 JP2013052802W WO2013136882A1 WO 2013136882 A1 WO2013136882 A1 WO 2013136882A1 JP 2013052802 W JP2013052802 W JP 2013052802W WO 2013136882 A1 WO2013136882 A1 WO 2013136882A1
Authority
WO
WIPO (PCT)
Prior art keywords
mask blank
thin film
mask
ion
etching
Prior art date
Application number
PCT/JP2013/052802
Other languages
English (en)
French (fr)
Inventor
鈴木 寿幸
山田 剛之
Original Assignee
Hoya株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya株式会社 filed Critical Hoya株式会社
Priority to US14/384,443 priority Critical patent/US20150111134A1/en
Priority to KR1020147023824A priority patent/KR101862166B1/ko
Publication of WO2013136882A1 publication Critical patent/WO2013136882A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting

Definitions

  • the present invention relates to a mask blank and a method for manufacturing a transfer mask.
  • a fine pattern is formed using a photolithography method.
  • a transfer mask is used in the fine pattern transfer process when the photolithography method is performed.
  • This transfer mask is generally manufactured by forming a desired fine pattern on a light shielding film of a mask blank as an intermediate. Therefore, the characteristics of the light-shielding film formed on the mask blank as an intermediate substantially affect the performance of the transfer mask.
  • Patent Document 1 a Ta metal film has an extinction coefficient (light absorption rate) higher than that of a Cr metal film with respect to light having a wavelength of 193 nm used in ArF excimer laser exposure. It is disclosed.
  • oxygen-containing chlorine-based dry Etching (Cl + O) -based) does not substantially etch, and a light-shielding layer of a metal film that can be etched by oxygen-free chlorine-based dry etching (Cl-based) and fluorine-based dry etching (F-based), oxygen Metal that is not substantially etched by non-containing chlorine-based dry etching (Cl-based) and that can be etched by at least one of oxygen-containing chlorine-based dry etching ((Cl + O) -based) or fluorine-based dry etching (F-based)
  • a transfer mask blank comprising a compound film antireflection layer is disclosed.
  • the mask blank is usually cleaned with a cleaning liquid containing cleaning water or a surfactant for the purpose of removing oil droplets or particles present on the surface of the film.
  • surface treatment for reducing the surface energy of the mask blank may be performed before applying the resist film.
  • alkylsilylation of the surface of the mask blank with hexamethyldisilazane (HMDS) or other organic silicon-based surface treatment agent is performed.
  • the defect inspection of the mask blank is performed before the resist film is formed on the surface or after the resist film is formed. Then, a mask for transfer is manufactured by etching a mask blank that satisfies a desired specification (quality).
  • a mask for transfer is manufactured by etching a mask blank that satisfies a desired specification (quality).
  • the resist film formed on the mask blank is drawn, developed, and rinsed to form a resist pattern, and then the resist pattern is used as a mask to form an antireflection layer. Etching to form an antireflection layer pattern.
  • an oxygen-containing chlorine-based gas or a fluorine-based gas is used.
  • the light shielding layer is etched to form a light shielding layer pattern.
  • an oxygen-free chlorine-based gas is used.
  • the transfer mask is completed by removing the resist film.
  • the completed transfer mask is inspected by a mask defect inspection apparatus for black defects and white defects, and if a defect is found, the defect is corrected using a correction technique such as EB irradiation.
  • This micro black defect has a spot-like size on the surface of the substrate of 20 to 100 nm and a height corresponding to the thickness of the thin film, and a transfer mask having a DRAM half pitch of 32 nm or more is manufactured according to the semiconductor design rule. It is recognized for the first time. Such micro black defects are fatal defects when manufacturing semiconductor devices and must be removed and corrected. However, if the number of defects exceeds 50, the burden of defect correction is large, and defect correction is practical. Is difficult.
  • the present invention has been made in view of the above-described circumstances, and an object thereof is to provide a mask blank that can suppress the occurrence of black defects in a transfer mask.
  • the present inventors investigated the cause of the above-mentioned fine black defect of the mask, and found that the latent defect that is not detected by the defect inspection of the mask blank is one factor. And it turned out that the defect of the above-mentioned latent mask blank has generate
  • the present invention has the following configuration as means for solving the above-described problems.
  • (Configuration 1) A mask blank having a structure in which a thin film is formed on a substrate, The thin film is made of a material containing one or more elements selected from tantalum, tungsten, zirconium, hafnium, vanadium, niobium, nickel, titanium, palladium, molybdenum and silicon,
  • TOF-SIMS time-of-flight secondary ion mass spectrometry
  • the normalized secondary ion strength of at least one or more ions selected from calcium fluoride ion, magnesium fluoride ion, aluminum fluoride ion, calcium chloride ion and magnesium chloride ion is 2.0 ⁇ 10 ⁇ 4 or less
  • a mask blank characterized by that.
  • the normalized secondary ion intensity referred to in this specification is the total number of secondary ions emitted from the surface of the thin film when the surface is irradiated with the primary ions and counted in the measurement range. This is a numerical value calculated by dividing the number of target ions (calcium fluoride ion or the like).
  • the substrate is a glass substrate having transparency to exposure light
  • (Configuration 9) A multilayer reflective film having a function of reflecting exposure light between the substrate and the thin film, 9.
  • Configuration 10 A method for manufacturing a transfer mask, comprising a step of forming a transfer pattern by dry etching on the thin film of the mask blank according to any one of configurations 1 to 9.
  • Configuration 11 The method for manufacturing a transfer mask according to Configuration 10, wherein the dry etching uses an etching gas containing fluorine or an etching gas containing chlorine.
  • calcium fluoride ion, magnesium fluoride ion, aluminum fluoride ion, calcium chloride ion and magnesium chloride ion when the surface of a thin film is measured by time-of-flight secondary ion mass spectrometry under a predetermined measurement condition
  • a mask blank having a normalized secondary ionic strength of 2.0 ⁇ 10 ⁇ 4 or less of at least one or more ions selected from: Generation of black defects can be suppressed.
  • Two types of mask blanks were prepared in order to investigate the cause of micro black defects in the transfer mask.
  • One is a mask blank on which a thin film made of a tantalum-based material is formed, and the other is a mask blank on which a thin film made of a chrome-based material is formed.
  • a mask blank in which a thin film made of a tantalum-based material is formed, a light-shielding layer (film thickness: 42 nm) consisting essentially of tantalum and nitrogen on a light-transmitting substrate, and substantially consisting of tantalum and oxygen
  • a binary mask blank hereinafter referred to as a tantalum-based mask blank, which is referred to as a tantalum-based mask
  • a tantalum-based mask having a laminated structure of a TaO antireflection layer (thickness: 9 nm) was prepared.
  • a CrCON film substantially composed of chromium, oxygen, nitrogen, and carbon is formed on a translucent substrate, and substantially chromium.
  • a light-shielding layer of a CrON film (thickness: 16.5 nm) made of Cr, oxygen and nitrogen, and a CrCON antireflection layer (thickness: 14 nm) consisting essentially of chromium, oxygen, nitrogen and carbon
  • a binary mask blank having a structure hereinafter referred to as a chrome mask blank, and the mask is referred to as a chrome mask
  • the two types of binary mask blanks described above are surface active.
  • the alkaline cleaning liquid containing the agent was supplied to the mask blank surface to perform surface cleaning.
  • the defect inspection was performed with the mask blank defect inspection apparatus (M1350: product made from a Lasertec company). As a result, in any mask blank, defects such as particles and pinholes could not be confirmed on the surface of the thin film.
  • a transfer mask was prepared using two types of mask blanks subjected to the same surface cleaning as described above.
  • a resist pattern is formed on the mask blank surface, dry etching using a fluorine-based (CF 4 ) gas is performed using the resist pattern as a mask, the antireflection layer is patterned, and then the antireflection layer is formed.
  • CF 4 fluorine-based
  • CF 4 chlorine-based
  • the resist pattern was removed to produce a transfer mask (tantalum-based mask).
  • a resist pattern is formed on the mask blank surface, dry etching using a mixed gas of chlorine-based (Cl 2 ) gas and oxygen (O 2 ) gas is performed using the resist pattern as a mask, The antireflection layer and the light shielding layer were patterned, and finally the resist pattern was removed to prepare a transfer mask (chrome mask).
  • the two obtained transfer masks were subjected to defect inspection using a mask defect inspection apparatus (manufactured by KLA-Tencor). As a result, it was confirmed that a large number (more than 50) of micro black defects existed in the tantalum mask. On the other hand, almost no micro black defects were found in the chromium-based mask (the number of defects that can be corrected in practice with the mask defect correction technology). The minute black defects in the tantalum mask were confirmed in the same manner even when UV treatment, ozone treatment, or heat treatment was performed for the purpose of removing the dirt on the mask blank before forming the resist film.
  • the fine black defects of the tantalum mask detected by the defect inspection were observed in a cross-section in a bright field using a scanning transmission electron microscope (STEM).
  • STEM scanning transmission electron microscope
  • a platinum alloy was coated on the entire surface of the translucent substrate on which the thin film pattern was formed.
  • the micro black defect had a height substantially equal to the film thickness of the laminated film of the light shielding layer and the antireflection layer.
  • the micro black defect is a laminated structure in which a material that is thought to be a surface oxide having a thickness of 5 to 10 nm is laminated on a nucleus having a width of about 23 nm and a height of about 43 nm ( (See FIG. 1).
  • etching inhibitors calcium fluoride (boiling point: 2500 ° C), magnesium fluoride (boiling point: 1260 ° C), aluminum fluoride (boiling point: 1275 ° C), calcium chloride (boiling point: 1600 ° C) , Magnesium chloride (boiling point: 1412 ° C.), or compounds thereof. These substances all have a high boiling point and become etching inhibitors when dry etching a thin film with a fluorine-based gas or a chlorine-based gas.
  • the etching inhibitor is the reason why a large difference in the number of micro black defects generated when the transfer mask is produced between the tantalum mask blank and the chromium mask blank. Therefore, the presence of an etching inhibitor on the mask blank surface that was not detected by the mask blank defect inspection apparatus was examined. Specifically, the above-mentioned two types of mask blanks (tantalum-based mask blanks and chromium-based mask blanks) that were surface-cleaned with an alkaline cleaning liquid were prepared for each five sheets. Then, the surface of the thin film in each mask blank was analyzed by time-of-flight secondary ion mass spectrometry (TOF-SIMS).
  • TOF-SIMS time-of-flight secondary ion mass spectrometry
  • the TOF-SIMS measurement conditions at this time are as follows: the primary ion species is Bi 3 ++ , the primary acceleration voltage is 30 kV, the primary ion current is 3.0 nA, and the primary ion irradiation region is an inner region of a square having a side of 200 ⁇ m.
  • the secondary ion measurement range was 0.5 to 3000 m / z, and the conditions were the same for all mask blanks.
  • any tantalum mask blank at least one of ions of calcium fluoride, aluminum fluoride, magnesium fluoride, calcium chloride, and magnesium chloride, which are substances that inhibit etching on the surface of the thin film. More than species were detected.
  • the normalized secondary ionic strength was greater than 2.0 ⁇ 10 ⁇ 4 .
  • the etching-inhibiting factor substance presumed to be attached to the surface of the thin film of the tantalum-based mask blank is difficult to detect with a mask blank defect inspection apparatus because it is thin. Although it is not impossible to scan the entire surface of the thin film with an atomic force microscope (AFM) and identify the location where the etching inhibitory substance is attached, it takes an enormous amount of time for detection. For this reason, a thin film made of a chromium-based material with a low risk of adhesion of an etching-inhibiting substance on the thin film of a tantalum-based mask blank (tantalum-based film) that has been surface-cleaned with a cleaning solution is formed in two layers of 100 nm thickness. Laminated.
  • the chromium-based mask blank a thin film made of a chromium-based material was laminated, and a defect inspection was performed using a mask blank defect inspection apparatus. Regarding the detected convex defect, the cross-sectional observation with STEM and the element identification with EDX were performed in the same manner, but no similar layer was found. From the results of the above TOF-SIMS and STEM, the reason why there is a large difference in the number of micro black defects generated when a transfer mask is produced between a tantalum mask blank and a chromium mask blank is the etching inhibition. It became clear that this was due to the difference in the number of adhered substances.
  • nuclei of minute black defects are formed (FIG. 3D).
  • the surface of the nucleus of the micro black defect is oxidized, and an oxide layer is formed around the nucleus, thereby forming a micro black defect on the surface of the substrate (synthetic quartz glass) (FIG. 3E).
  • the generation mechanism of the fine black defects has been described with respect to calcium fluoride, but it is considered that the fine black defects are also generated by the same mechanism as described above for magnesium fluoride and aluminum fluoride which are etching inhibitors.
  • calcium chloride and magnesium chloride have high boiling points and are difficult to dry-etch when dry etching is performed with a chlorine-based gas, so that these can also be etching inhibitors.
  • the mask blank of the present invention is a mask blank having a structure in which a thin film is formed on a substrate, and the thin film includes tantalum, tungsten, zirconium, hafnium, vanadium, niobium, nickel, titanium, palladium.
  • a time-of-flight secondary ion made of a material containing one or more elements selected from molybdenum and silicon, with the primary ion species being Bi 3 ++ , the primary acceleration voltage being 30 kV, and the primary ion current being 3.0 nA
  • TOF-SIMS mass spectrometry
  • the surface of the thin film is measured by TOF-SIMS in order to suppress the number of micro black defects generated to less than 50 when the transfer mask is manufactured.
  • the normalized secondary ionic strength of at least one or more ions selected from calcium fluoride ions, magnesium fluoride ions, aluminum fluoride ions, calcium chloride ions, and magnesium chloride ions is at least 2.0 ⁇ 10 -4 or less is required. Further, in order to further suppress the number of micro black defects generated when a transfer mask is produced (for example, 40 or less), calcium fluoride ions and fluoride ions are measured when the surface of the thin film is measured by TOF-SIMS.
  • the normalized secondary ion intensity of at least one or more ions selected from magnesium ions, aluminum fluoride ions, calcium chloride ions and magnesium chloride ions is preferably at least 1.5 ⁇ 10 ⁇ 4 or less. More preferably, the standardization of at least one ion selected from calcium fluoride ion, magnesium fluoride ion, aluminum fluoride ion, calcium chloride ion and magnesium chloride ion when the surface of the thin film is measured by TOF-SIMS.
  • the secondary ionic strength is at least 1.0 ⁇ 10 ⁇ 4 or less.
  • the primary ion irradiation region is an inner region of a rectangle having a side of 200 ⁇ m.
  • the measurement range of secondary ions is preferably 0.5 to 3000 m / z.
  • the mask blank is a mask blank having a structure in which a thin film is formed on a substrate, and the thin film includes tantalum, tungsten, zirconium, hafnium, vanadium, niobium, nickel, titanium, palladium, molybdenum, and silicon.
  • a time-of-flight secondary ion mass spectrometry method comprising a material containing one or more elements selected from the group consisting of Bi 3 ++ , a primary acceleration voltage of 30 kV, and a primary ion current of 3.0 nA.
  • the normalized secondary ion intensity of calcium fluoride ion, magnesium fluoride ion, aluminum fluoride ion, calcium chloride ion and magnesium chloride ion is 2.0 ⁇ More preferably, it is 10 ⁇ 4 or less. Furthermore, when the surface of the thin film was measured by TOF-SIMS, the normalized secondary ion intensity of calcium fluoride ion, magnesium fluoride ion, aluminum fluoride ion, calcium chloride ion and magnesium chloride ion was 1.5 ⁇ preferable to be 10 -4 or less, and particularly preferably 1.0 ⁇ 10 -4 or less.
  • the thin film formed on the substrate includes tantalum (Ta), tungsten (W), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), nickel (Ni), titanium. It is preferably formed of a material containing one or more metals selected from (Ti), palladium (Pd), molybdenum (Mo), and silicon (Si). From the viewpoint of controlling optical characteristics and etching characteristics, it is preferable that the above materials contain oxygen, nitrogen, carbon, boron, hydrogen, fluorine, or the like.
  • a thin film made of these materials forms a transfer pattern corresponding to the generation of DRAM half pitch 32 nm or later, which is a semiconductor design rule, by dry etching using a fluorine-based gas or a chlorine-based gas containing substantially no oxygen.
  • a fluorine-based gas or a chlorine-based gas containing substantially no oxygen is possible.
  • SRAF Sub-Resolution Assist Feature
  • Examples of the etching gas containing fluorine (fluorine-based gas) include CHF 3 , CF 4 , SF 6 , C 2 F 6 , and C 4 F 8 .
  • Examples of the etching gas containing chlorine (chlorine-based gas) include Cl 2 , SiCl 4 , CHCl 3 , CH 2 Cl 2 , and CCl 4 .
  • As the dry etching gas a mixed gas in which a gas such as He, H 2 , Ar, C 2 H 4 or the like is added in addition to the fluorine-based gas and the chlorine-based gas can be used.
  • anisotropic dry etching can be easily controlled, and there is an excellent effect that the verticality of the side wall of the pattern formed on the thin film can be increased.
  • anisotropic dry etching etching in the pattern side wall direction is suppressed. Therefore, if there is an etching inhibitor such as calcium fluoride on the thin film, it is difficult to remove by dry etching.
  • the etching gas used when dry etching for forming a pattern on a thin film made of a tantalum-based material of the tantalum-based mask blank was a fluorine-based gas and a chlorine-based gas substantially containing no oxygen. It was. Therefore, the tendency of ion-based dry etching is strong, and the etching-inhibiting substance is difficult to remove.
  • the thin films of the mask blanks listed above are formed of a material that can be mainly ion-etched, so that if etching inhibitors are present on the surface of the thin film, dry etching is performed. It can be said that sometimes a small black defect is likely to occur.
  • the etching gas used when dry etching for forming a pattern on the thin film made of the chromium-based material of the chromium-based mask blank was a mixed gas of chlorine-based gas and oxygen gas. Therefore, the tendency of radical-based dry etching is strong, and etching inhibitors are relatively easily removed. This can also be cited as one of the reasons why the number of micro black defects generated is small when a transfer mask is produced from a chromium-based mask blank.
  • the mask blank thin film is preferably provided to form a thin film pattern by dry etching using an etching gas containing fluorine or an etching gas containing chlorine.
  • an etching gas containing chlorine that does not substantially contain oxygen is preferable.
  • the etching gas containing chlorine which does not substantially contain oxygen refers to an etching gas having an oxygen concentration of at least 5% by volume or less, more preferably 3% by volume or less.
  • the thin film is more preferably formed with a pattern by ion-based etching.
  • the material of the thin film of the mask blank is preferably a material containing tantalum.
  • the surface layer of the thin film is formed with an oxide layer containing more oxygen than the portion other than the surface layer.
  • the surface layer of a tantalum nitride film (TaN film) or a tantalum film (Ta film) has an oxide layer (TaO, particularly an oxygen content of 60 at% or more, and a Ta 2 O 5 bond abundance ratio.
  • a thin film in which a high highly oxidized layer is formed.
  • Many hydroxyl groups (OH groups) exist on the surface of the surface layer of the oxide layer containing tantalum. When many hydroxyl groups are present on the surface, substances such as calcium are likely to adhere for the reasons described later, so that the effects of the present invention can be obtained more.
  • the thin film made of a material containing tantalum in the mask blank has a laminated structure of a lower layer and an upper layer from the substrate side, and the upper layer preferably contains oxygen. More preferably, it is a laminated film in which a lower layer made of a material containing tantalum and nitrogen and an upper layer made of a material containing tantalum and oxygen are laminated. In this case, a high oxide layer containing a larger amount of oxygen (for example, oxygen content of 60 at% or more) than that in the other upper layers in the upper surface layer and having a high ratio of Ta 2 O 5 bonds is formed. May be.
  • An oxide layer or a tantalum oxide film containing tantalum tends to have a high proportion of hydroxyl groups (OH groups) on the surface thereof.
  • OH groups hydroxyl groups
  • examples of the material containing tantalum and nitrogen include TaN, TaBN, TaCN, TaBCN, and the like, but other elements other than tantalum and nitrogen may be included.
  • examples of the material containing tantalum and oxygen include TaO, TaBO, TaCO, TaBCO, TaON, TaBON, TaCON, TaBCON, and the like, but other elements other than tantalum and oxygen may be included.
  • the thin film made of a material containing tantalum in the mask blank may have a structure in which a lower layer made of only tantalum and an upper layer made of a material containing tantalum and oxygen are laminated from the substrate side.
  • a material consisting only of tantalum, which does not contain oxygen and nitrogen is a material whose etching rate in dry etching using an etching gas containing chlorine that does not substantially contain oxygen contains tantalum and nitrogen. Bigger than The upper layer made of a material containing tantalum and oxygen is the same as the upper layer.
  • the thin film made of a material containing tantalum in the mask blank may have a structure in which a lower layer made of a material containing tantalum and silicon and an upper layer made of a material containing tantalum and oxygen are laminated from the substrate side.
  • a material in which silicon is contained in tantalum can make the crystal state in the material more microcrystalline or amorphous than a material containing tantalum and nitrogen. Further, by adding silicon to tantalum, the optical density (extinction coefficient) with respect to exposure light can be made higher than that of a material made of tantalum alone.
  • the etching rate in dry etching using an etching gas containing chlorine that does not substantially contain oxygen can be made larger than that of a material made of tantalum alone.
  • the etching rate increases as the content of silicon in the material increases, and the mixing ratio of tantalum (Ta) and silicon (Si) in the material increases.
  • the ratio [%] of the tantalum content [atomic%] to the total content [atomic%] of tantalum and silicon in the material constituting the lower layer is preferably 20% or more, more than 30% More preferably, it is more preferably 33% or more.
  • the ratio [%] of the tantalum content [atomic%] to the total content [atomic%] of tantalum and silicon in the material constituting the lower layer is preferably 95% or less, and more preferably 90% or less. More preferably, it is 85% or less.
  • the upper layer made of a material containing tantalum and oxygen is the same as the upper layer.
  • the surfactant used for cleaning the surface of the mask blank includes calcium ions (Ca 2+ ), magnesium ions (Mg 2+ ), aluminum ions (Al 3+ ), and aluminum hydroxide ions (impurities) depending on the production method and pH.
  • Al (OH) 4 ⁇ ) may be included, and these are ionized and are difficult to remove. It is considered that calcium and the like detected by the TOF-SIMS were contained in the surfactant contained in the cleaning solution used this time.
  • a large number of hydroxyl groups (OH groups) are present on the surface of the tantalum mask blank, and calcium ions (Ca 2+ ) and magnesium ions (Mg 2+ ) contained in the cleaning liquid are attracted to the hydroxyl groups (FIG. 4A).
  • the liquid covering the surface of the mask blank rapidly changes from alkaline (pH 10) to neutral (around pH 7) when rinsing with pure water for washing away the cleaning liquid.
  • Calcium ions and magnesium ions attracted to the surface become calcium hydroxide (Ca (OH) 2 ) and magnesium hydroxide (Mg (OH) 2 ) and are easily deposited on the film surface (FIG. 4B). It is considered that this calcium hydroxide and magnesium hydroxide were combined with fluorine and chlorine to form fluoride and chloride in the subsequent steps, and became an etching inhibitor on the mask blank surface.
  • the substrate is a glass substrate that is transparent to exposure light, and the thin film is used to form a transfer pattern when a transfer mask is produced from the mask blank.
  • the mask blank having such a configuration is also referred to as a transmission mask blank.
  • a transfer mask manufactured from the transmission mask blank is also referred to as a transmission mask.
  • examples of a thin film for forming a transfer pattern include a light-shielding film having a function of shielding exposure light, and suppressing reflection on the surface in order to suppress multiple reflection from the transfer target.
  • Examples thereof include an antireflection film having a function, and a phase shift film having a function of generating a predetermined transmittance and a predetermined phase difference with respect to exposure light in order to improve the resolution of the pattern.
  • Examples of the thin film for forming the transfer pattern include a semi-transmissive film that generates a predetermined transmittance with respect to exposure light but does not generate a phase difference that causes a phase shift effect.
  • a mask blank having such a semi-transmissive film is mainly used when manufacturing an enhancer type phase shift mask.
  • These thin films may be a single layer film or a laminated film in which a plurality of these films are laminated.
  • ArF excimer laser light, KrF excimer laser light, or the like is applied as exposure light to a transfer mask manufactured from a mask blank provided with a thin film for forming these transfer patterns.
  • the mask blank includes a multilayer reflective film having a function of reflecting exposure light between the substrate and the thin film, and the thin film is used to form a transfer pattern when a transfer mask is produced from the mask blank. It is preferable that Such a mask blank is also referred to as a reflective mask blank.
  • a transfer mask manufactured from the reflective mask blank is also referred to as a reflective mask.
  • examples of a thin film for forming a transfer pattern include an absorber film having a function of absorbing exposure light, a reflection reducing film for reducing exposure light reflection, and the patterning of the above-described absorber film. Examples include a buffer layer for preventing etching damage to the multilayer reflective film.
  • the transfer mask of the present invention includes the reflective mask described above.
  • This reflective mask is preferably applied with EUV (Extreme Ultra Violet) light as exposure light.
  • EUV light is light (electromagnetic wave) having a wavelength between 0.1 nm and 100 nm, but light (electromagnetic wave) having a wavelength of 13 nm to 14 nm is particularly used.
  • a silicon film (Si film, film thickness 4.2 nm) and a molybdenum film (Mo film, film thickness 2.8 nm) are defined as one period, and this is a plurality of periods ( 20 cycles to 60 cycles, preferably around 40 cycles.)
  • a laminated film structure is often used.
  • a protective film for example, Ru, RuNb, RuZr, RuY, RuMo, etc.
  • Ru, RuNb, RuZr, RuY, RuMo, etc. that protects the multilayer reflective film may be provided between the multilayer reflective film and the absorber film or the buffer layer.
  • an etching mask film (or hard mask film) that functions as an etching mask (hard mask) when the lower layer film is etched may be provided in addition to the above-described thin film serving as the transfer pattern.
  • a thin film to be a transfer pattern may be a laminated film, and an etching mask (hard mask) may be provided as a part of the laminated film.
  • the substrate may be any material that transmits exposure light, and examples thereof include synthetic quartz glass.
  • any material that can prevent thermal expansion due to absorption of exposure light may be used.
  • the transfer mask is preferably manufactured by a manufacturing method including a step of forming a transfer pattern on the thin film of the mask blank by dry etching. Further, it is more preferable to use an etching gas containing fluorine or an etching gas containing chlorine for the dry etching in the method for manufacturing the transfer mask.
  • the substances inhibiting the etching include manganese, in addition to the substances listed above. There are iron and nickel. For this reason, in the mask blank described above, by the time-of-flight secondary ion mass spectrometry (TOF-SIMS) using the measurement conditions of the primary ion species Bi 3 ++ , the primary acceleration voltage 30 kV, and the primary ion current 3.0 nA.
  • TOF-SIMS time-of-flight secondary ion mass spectrometry
  • the normalized secondary ion intensity of at least one or more ions selected from manganese ions, iron ions, and nickel ions when the surface of the thin film is measured is preferably 1.0 ⁇ 10 ⁇ 3 or less. Further, the normalized secondary ionic strength is more preferably 5.0 ⁇ 10 ⁇ 4 or less, and particularly preferably 1.0 ⁇ 10 ⁇ 4 or less.
  • an alkaline cleaning liquid containing a surfactant which is performed after the thin film is formed on the substrate, is used as a major factor for the above-described etching inhibiting substances to adhere to the surface of the mask blank thin film.
  • the cleaning liquid for cleaning the thin film of the mask blank is the detection lower limit for etching inhibitors and etching inhibiting substances such as calcium, magnesium, aluminum, calcium fluoride, magnesium fluoride, aluminum fluoride, calcium chloride, and magnesium chloride.
  • etching inhibitors and etching inhibiting substances such as calcium, magnesium, aluminum, calcium fluoride, magnesium fluoride, aluminum fluoride, calcium chloride, and magnesium chloride.
  • the following eg DI water
  • the thin film of the mask blank After cleaning the surface of the thin film of the mask blank using a plurality of cleaning liquids having different concentrations of the etching inhibitor and the etching inhibition factor, the thin film was dry etched to verify the number of micro black defects generated. As a result, it was confirmed that when the concentration of the etching inhibitor or the etching inhibitory factor in the cleaning liquid is 0.3 ppb or less, the number of micro black defects generated can be suppressed to a level that is practically acceptable. In view of the above, it is preferable to use a cleaning solution having a concentration of the etching inhibitor or the etching inhibitory factor of 0.3 ppb or less for the surface cleaning performed on the mask blank thin film.
  • the mask blank thin film is formed of a material having low adhesion to the resist film (particularly, a material containing Si)
  • the mask blank is used to prevent the fine pattern formed on the resist film from peeling off or falling down.
  • a treatment for reducing the surface energy is performed.
  • a surface treatment liquid for alkylsilylating the surface of the mask blank for example, hexamethyldisilazane (HMDS) or other organic silicon type surface treatment liquid is used.
  • HMDS hexamethyldisilazane
  • the concentration of the etching inhibitor or the etching inhibitor is not more than the detection lower limit.
  • the mask blank of the present invention can be manufactured even when the concentration of the etching inhibitor and the etching inhibitory factor contained in the surface treatment liquid is 0.3 ppb or less.
  • the concentrations of the etching inhibitor and the etching inhibitory factor contained in each of the treatment liquids described above are determined by inductively coupled plasma emission spectroscopy (ICP-MS) for the treatment liquid immediately before being supplied to the surface of the mask blank.
  • ICP-MS inductively coupled plasma emission spectroscopy
  • -Mass Spectroscopy
  • the detected value of the calcium concentration in the liquid is a concentration calculated by the total amount of calcium and calcium compounds (calcium fluoride, calcium chloride, etc.) (the same applies to magnesium and aluminum).
  • time-of-flight secondary ion mass spectrometry was performed under the measurement conditions of a primary ion species of Bi 3 ++ , a primary acceleration voltage of 30 kV, and a primary ion current of 3.0 nA.
  • the normalized secondary ion intensity of at least one or more ions selected from calcium ions, magnesium ions and aluminum ions when measuring the surface of the thin film is 1.0 ⁇ 10 ⁇ 3 or less In addition, it is more preferable.
  • the normalized secondary ion intensity of at least one or more ions selected from calcium ions, magnesium ions and aluminum ions is 5.0 ⁇ 10 ⁇ 4 or less. Preferably, it is particularly preferably 1.0 ⁇ 10 ⁇ 4 or less.
  • time-of-flight secondary ion mass spectrometry was performed under the measurement conditions of a primary ion species of Bi 3 ++ , a primary acceleration voltage of 30 kV, and a primary ion current of 3.0 nA. It is more preferable to add a configuration in which the normalized secondary ion intensity of calcium ions, magnesium ions, and aluminum ions when the surface of the thin film is measured is 1.0 ⁇ 10 ⁇ 3 or less.
  • the normalized secondary ion intensity of calcium ions, magnesium ions and aluminum ions is preferably 5.0 ⁇ 10 ⁇ 4 or less, and 1.0 ⁇ 10 ⁇ Particularly preferred is 4 or less.
  • the upper limit of the normalized secondary ion intensity is set smaller for the fluoride and chloride ion groups than for the ion groups that are not compounds.
  • a substance such as calcium has a very high boiling point in the state of a compound combined with fluorine or chlorine, and is difficult to volatilize from the film surface of the thin film, thereby inhibiting the etching of the thin film. If there is already a substance such as calcium bonded to fluorine or chlorine on the surface of the thin film before etching with fluorine-based gas or chlorine-based gas, these will be performed when etching with fluorine-based gas or chlorine-based gas is started. This material acts as an etching inhibitor.
  • a substance such as calcium that is not bonded to fluorine or chlorine reacts with the fluorine-based gas or chlorine-based gas after etching with the fluorine-based gas or chlorine-based gas begins, and fluoride or It begins to act as an etching inhibitor when it becomes chloride.
  • fluorine-based gas or chlorine-based gas hits the surface of the thin film, so that some of the substances such as calcium are blown off the surface of the thin film, and calcium and the like that do not become etching inhibitors Substances are also generated at a certain rate.
  • Example 1 A plurality of synthetic quartz glass substrates (about 152.1 mm ⁇ about 152.1 mm ⁇ about 6.25 mm) whose main surfaces and end surfaces were precisely polished were prepared.
  • a thin film made of a material containing tantalum was formed on the main surface of each glass substrate.
  • a plurality of binary mask blanks for ArF excimer laser exposure corresponding to the semiconductor design rule DRAM half pitch 32 nm were prepared.
  • each mask blank (mask blank A1 to E1) whose surface was cleaned with each cleaning solution was rinsed with DI water (spin cleaning) and then spin-dried.
  • the normalized secondary ion intensity of calcium fluoride ion and calcium chloride ion was measured by TOF-SIMS on the surface of each mask blank thin film after spin drying. The results are shown in Table 1.
  • the measurement conditions in this TOF-SIMS are as follows.
  • Primary ion irradiation area square inner area with a side of 200 ⁇ m
  • Secondary ion measurement range 0.5 to 3000 m / z
  • mask blanks A1 to E1 subjected to the same surface cleaning treatment as described above were prepared.
  • a positive chemically amplified resist PRL009: manufactured by Fuji Film Electronics Materials
  • PRL009 manufactured by Fuji Film Electronics Materials
  • drawing, development, and rinsing are performed on the resist film to form a resist pattern on the mask blank surface.
  • dry etching using a fluorine-based (CF 4 ) gas is performed using the resist pattern as a mask.
  • the upper layer pattern is formed by patterning (at this time, part of the lower layer is also etched), and then dry etching using a chlorine-based (Cl 2 ) gas is performed, and the lower layer is patterned using the upper layer pattern as a mask. A lower layer pattern was formed, and finally the resist pattern was removed to prepare transfer masks.
  • Each of the obtained transfer masks was subjected to a defect inspection in the transfer pattern formation region (132 mm ⁇ 104 mm) using a mask defect inspection apparatus (manufactured by KLA-Tencor).
  • Table 1 shows the number of black defects detected by each transfer mask.
  • the normalized secondary ion intensity of calcium fluoride ion and calcium chloride ion measured by TOF-SIMS on the surface of the thin film in the mask blank under the above measurement conditions is 2.0 ⁇ 10 ⁇ 4 or less. It can be seen that by selecting a mask blank, the number of micro black defects generated when a transfer mask is produced can be suppressed to 50 or less.
  • Example 2 and Comparative Example 2 As in the case of Example 1 and Comparative Example 1, a plurality of sheets for ArF excimer laser exposure corresponding to the semiconductor design rule DRAM half-pitch 32 nm having a thin film in which a lower layer of TaN and an upper layer of TaO are laminated from the glass substrate side. A binary mask blank was prepared.
  • the normalized secondary ion intensity of magnesium fluoride ion and magnesium chloride ion was measured by TOF-SIMS on the surface of each mask blank thin film after spin drying. The results are shown in Table 2. Note that the measurement conditions in TOF-SIMS at this time are the same as those in Example 1 and Comparative Example 1.
  • the normalized secondary ion intensity of magnesium fluoride ion and magnesium chloride ion measured by TOF-SIMS on the surface of the thin film in the mask blank under the above measurement conditions is 2.0 ⁇ 10 ⁇ 4 or less. It can be seen that by selecting a mask blank, the number of micro black defects generated when a transfer mask is produced can be suppressed to 50 or less.
  • Example 3 Comparative Example 3
  • a binary mask blank was prepared.
  • each mask blank (mask blanks K1 to P1) whose surface was cleaned with each cleaning solution was rinsed with DI water (spin cleaning) and then spin-dried.
  • the normalized secondary ion intensity of aluminum fluoride ions was measured by TOF-SIMS on the surface of each mask blank thin film after spin drying. The results are shown in Table 3. Note that the measurement conditions in TOF-SIMS at this time are the same as those in Example 1 and Comparative Example 1.
  • a mask blank having a normalized secondary ion intensity of aluminum fluoride ion of 2.0 ⁇ 10 ⁇ 4 or less measured with TOF-SIMS on the surface of the thin film in the mask blank under the above measurement conditions is selected.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

 基板上に薄膜が形成された構造を有するマスクブランクであって、前記薄膜は、タンタル、タングステン、ジルコニウム、ハフニウム、バナジウム、ニオブ、ニッケル、チタン、パラジウム、モリブデンおよびケイ素から選ばれる1以上の元素を含有する材料からなり、一次イオン種がBi ++、一次加速電圧が30kV、一次イオン電流が3.0nAの測定条件とした飛行時間型二次イオン質量分析法(TOF-SIMS)によって、前記薄膜の表面を測定したときのフッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度が、2.0×10-4以下であることを特徴とする。

Description

マスクブランク、及び転写用マスクの製造方法
 本発明は、マスクブランク、及び転写用マスクの製造方法に関する。
 一般に、半導体装置等の製造工程では、フォトリソグラフィ法を用いて微細パターンの形成が行われる。このフォトリソグラフィ法を実施する際における微細パターン転写工程においては、転写用マスクが用いられる。この転写用マスクは、一般的には、中間体としてのマスクブランクの遮光膜に所望の微細パターンを形成することによって製造される。それゆえ、中間体としてのマスクブランクに形成された遮光膜の特性が、ほぼそのまま転写用マスクの性能を左右することになる。
 近年、タンタル系材料からなる遮光膜を備えるマスクブランクが開発されており、これを用いて製造された転写用マスクの性能について評価が進められている。特開2006-78825号公報(特許文献1)には、Ta金属膜は、ArFエキシマレーザー露光で用いられる波長193nmの光に対して、Cr金属膜以上の消衰係数(光吸収率)を有することが開示されている。また、転写用マスクパターンを形成する際のマスクとして用いられるレジストへの負荷を軽減させて微細な転写用マスクパターンを高精度で形成することが可能な転写用マスクブランクとして、酸素含有塩素系ドライエッチング((Cl+O)系)では実質的なエッチングがされず、かつ酸素非含有塩素系ドライエッチング(Cl系)およびフッ素系ドライエッチング(F系)でエッチングが可能な金属膜の遮光層と、酸素非含有塩素系ドライエッチング(Cl系)では実質的なエッチングがされず、かつ酸素含有塩素系ドライエッチング((Cl+O)系)あるいはフッ素系ドライエッチング(F系)の少なくとも一方でエッチングが可能な金属化合物膜の反射防止層と、を備えている転写用マスクブランクが開示されている。
特開2006-78825号公報
 マスクブランクは通常、膜の表面に存在する油滴やパーティクル等の除去を目的として、洗浄水や界面活性剤が含まれた洗浄液を用いた洗浄が行われる。また、レジスト膜形成後のプロセスにおける微細パターンの剥がれや倒れを防止するため、レジスト膜の塗布前に、マスクブランクの表面エネルギーを低減させておくための表面処理が行われる場合もある。この場合の表面処理としては、ヘキサメチルジシラザン(HMDS)やその他の有機シリコン系の表面処理剤でマスクブランクの表面をアルキルシリル化することなどが行われる。
 マスクブランクの欠陥検査は、その表面にレジスト膜を形成する前や、レジスト膜を形成した後に行われる。そして、所望の仕様(品質)を満足するマスクブランクをエッチングすることによって、転写用マスクが製造される。特許文献1に記載のマスクブランクをエッチングするエッチング工程では、マスクブランク上に形成したレジスト膜に描画・現像・リンスを行い、レジストパターンを形成した後、レジストパターンをマスクにして、反射防止層をエッチングして反射防止層パターンを形成する。反射防止層のエッチングでは、酸素含有塩素系ガスあるいはフッ素系ガスが用いられる。つぎに、反射防止層パターンをマスクにして、遮光層をエッチングして遮光層パターンを形成する。遮光層のエッチングでは、酸素非含有塩素系ガスが用いられる。最後に、レジスト膜を除去することによって、転写用マスクが完成する。完成した転写用マスクは、マスク欠陥検査装置により、黒欠陥、白欠陥がないか検査され、欠陥が見つかった場合は、EB照射等の修正技術を用いて欠陥が修正される。
 タンタル系材料からなる遮光膜を備えたマスクブランクを用いて転写用マスクを製造した場合、クロム系材料からなる遮光膜を備えたマスクブランクを用いた場合よりも、黒欠陥が多く発生するという問題が生じていた。このタンタル系材料からなる遮光膜を備えたマスクブランクは、レジスト塗布前の段階で行った欠陥検査では、欠陥数は許容範囲内の個数であった。つまり、マスクブランクの欠陥検査では検出されないが、マスクブランクを用いて転写用マスクを製造した後の欠陥検査において初めて検出される微小黒欠陥が多く存在することがわかった。この微小黒欠陥は、基板の表面にスポット状に存在するサイズが20~100nmで、高さが薄膜の膜厚相当のものであり、半導体デザインルールでDRAMハーフピッチ32nm以降の転写用マスクを作製する場合に初めて認識されたものである。このような微小黒欠陥は、半導体デバイスを製造するに際しては致命欠陥となるもので全て除去・修正しなければならないが、欠陥数が50個を超えると欠陥修正の負荷が大きく、事実上欠陥修正が困難である。また、近年の半導体デバイスの高集積化において、転写用マスクに形成される薄膜パターンの複雑化(例えば、OPCパターン)、微細化(例えば、アシストバー等のSub-Resolution Assist Feature)、狭小化によって、欠陥の除去・修正にも限界があり問題となっていた。
 本発明は上述の事情に鑑みてなされたものであり、転写用マスクの黒欠陥の発生を抑制することのできるマスクブランクを提供することを目的とする。
 本発明者らは、上述のマスクの微小黒欠陥の発生要因について調査したところ、マスクブランクの欠陥検査では検出されない潜在化した欠陥が一つの要因であることを突き止めた。
 そして、上述の潜在化したマスクブランクの欠陥は、カルシウム等のエッチングを阻害する要因となる物質がマスクブランクの表面に存在することによって発生していることがわかった。
 本発明は上述の課題を解決するための手段として、以下の構成を有する。
(構成1)
 基板上に薄膜が形成された構造を有するマスクブランクであって、
 前記薄膜は、タンタル、タングステン、ジルコニウム、ハフニウム、バナジウム、ニオブ、ニッケル、チタン、パラジウム、モリブデンおよびケイ素から選ばれる1以上の元素を含有する材料からなり、
 一次イオン種がBi ++、一次加速電圧が30kV、一次イオン電流が3.0nAの測定条件とした飛行時間型二次イオン質量分析法(TOF-SIMS)によって、前記薄膜の表面を測定したときのフッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度が、2.0×10-4以下であることを特徴とするマスクブランク。
 なお、本明細書でいう規格化2次イオン強度とは、薄膜の表面に一次イオンが照射されたことによって、薄膜の表面から放出された二次イオンを前記の測定範囲でカウントした総個数で、対象のイオン(フッ化カルシウムイオン等)の個数を除して算出した数値である。
(構成2)
 前記薄膜は、タンタルを含有する材料からなることを特徴とする構成1に記載のマスクブランク。
(構成3)
 前記薄膜は、表層に酸素を含有した酸化層を有することを特徴とする構成2に記載のマスクブランク。
(構成4)
 前記薄膜は、前記基板側から下層と上層の積層構造を有し、前記上層は、酸素を含有していることを特徴とする構成2に記載のマスクブランク。
(構成5)
 前記薄膜は、エッチングによって薄膜パターンを形成するために設けられたものであることを特徴とする構成1から4のいずれかに記載のマスクブランク。
(構成6)
 前記規格化二次イオン強度は、一次イオン照射領域を一辺が200μmである四角形の内側の領域とした測定条件で行われたものであることを特徴とする構成1から5のいずれかに記載のマスクブランク。
(構成7)
 前記フッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンは、フッ素を含有するエッチングガスまたは塩素を含有するエッチングガスを用いたドライエッチングによって前記薄膜にパターンを形成するときに、エッチングを阻害する要因となる物質であることを特徴とする構成1に記載のマスクブランク。
(構成8)
 前記基板は、露光光に対して透過性を有するガラス基板であり、
 前記薄膜は、このマスクブランクから転写用マスクを作製する際に転写パターンを形成するために用いられるものであることを特徴とする構成1から7のいずれかに記載のマスクブランク。
(構成9)
 前記基板と薄膜の間に露光光を反射する機能を有する多層反射膜を備え、
 前記薄膜は、このマスクブランクから転写用マスクを作製する際に転写パターンを形成するために用いられるものであることを特徴とする構成1から8のいずれかに記載のマスクブランク。
(構成10)
 構成1から9のいずれかに記載のマスクブランクの前記薄膜にドライエッチングによって転写パターンを形成する工程を有することを特徴とする転写用マスクの製造方法。
(構成11)
 前記ドライエッチングは、フッ素を含有するエッチングガスまたは塩素を含有するエッチングガスを用いることを特徴とする構成10に記載の転写用マスクの製造方法。
 本発明によれば、所定の測定条件による飛行時間型二次イオン質量分析法で薄膜表面を測定したときのフッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度が2.0×10-4以下であるマスクブランクとしたことにより、エッチングで薄膜にパターンを形成して転写用マスクを作製した際、黒欠陥の発生を抑制することができる。
微小黒欠陥を走査型透過電子顕微鏡にて明視野で観察した断面写真である。 タンタル系マスクブランクの表面に形成されたエッチング阻害要因物質を、走査型透過電子顕微鏡にて暗視野で観察した断面写真である。 微小黒欠陥の発生メカニズムを説明するための図である。 微小黒欠陥の発生メカニズムを説明するための図である。 微小黒欠陥の発生メカニズムを説明するための図である。 微小黒欠陥の発生メカニズムを説明するための図である。 微小黒欠陥の発生メカニズムを説明するための図である。 タンタル系マスクブランクの表面にエッチング阻害要因物質が付着するメカニズムの説明図である。 タンタル系マスクブランクの表面にエッチング阻害要因物質が付着するメカニズムの説明図である。 クロム系マスクブランクの表面にエッチング阻害要因物質が付着しにくいメカニズムの説明図である。 クロム系マスクブランクの表面にエッチング阻害要因物質が付着しにくいメカニズムの説明図である。
 本発明のマスクブランクを完成させるに当たり、転写用マスクにおける微小黒欠陥の発生要因を調べるため、以下の実験・考察を行った。
 転写用マスクにおける微小黒欠陥の発生要因を調べるため、2種類のマスクブランクを用意した。1つは、タンタル系材料からなる薄膜が形成されたマスクブランク、もう1つは、クロム系材料からなる薄膜が形成されたマスクブランクである。
 タンタル系材料からなる薄膜が形成されたマスクブランクとして、透光性基板上に、実質的にタンタルと窒素とからなるTaNの遮光層(膜厚:42nm)と、実質的にタンタルと酸素とからなるTaOの反射防止層(膜厚:9nm)の積層構造からなるバイナリーマスクブランク(以下、タンタル系マスクブランクと称し、そのマスクをタンタル系マスクと称す。)を用意した。
 クロム系材料からなる薄膜が形成されたマスクブランクとして、透光性基板上に、実質的にクロムと酸素と窒素と炭素からなるCrCONの膜(膜厚:38.5nm)と、実質的にクロムと酸素と窒素からなるCrONの膜(膜厚:16.5nm)の積層構造の遮光層と、実質的にクロムと酸素と窒素と炭素からなるCrCONの反射防止層(膜厚:14nm)の積層構造からなるバイナリーマスクブランク(以下、クロム系マスクブランクと称し、そのマスクをクロム系マスクと称す。)を用意した。
 上述の2種類のバイナリーマスクブランクに対して、反射防止層上に付着している異物(パーティクル)や、遮光層、反射防止層に混入している異物(パーティクル)の除去を目的として、界面活性剤が含有されたアルカリ性洗浄液を、マスクブランク表面に供給し、表面洗浄を行った。
 表面洗浄を行ったマスクブランクの表面について、マスクブランク欠陥検査装置(M1350:レーザーテック社製)により欠陥検査を行った。その結果、いずれのマスクブランクにおいても、薄膜の表面にパーティクルやピンホール等の欠陥を確認することができなかった。
 次に、前記と同様の表面洗浄を行った2種類のマスクブランクを用いて転写用マスクを作製した。タンタル系マスクブランクについては、マスクブランク表面にレジストパターンを形成し、レジストパターンをマスクにしてフッ素系(CF)ガスを用いたドライエッチングを行い、反射防止層をパターニングし、その後、反射防止層のパターンをマスクにして塩素系(Cl)ガスを用いたドライエッチングを行い、遮光層をパターニングし、最後にレジストパターンを除去して、転写用マスク(タンタル系マスク)を作製した。
 一方、クロム系マスクブランクについては、マスクブランク表面にレジストパターンを形成し、レジストパターンをマスクにして塩素系(Cl)ガスと酸素(O)ガスの混合ガスを用いたドライエッチングを行い、反射防止層と遮光層をパターニングし、最後にレジストパターンを除去して、転写用マスク(クロム系マスク)を作製した。
 得られた2種類の転写用マスクについて、マスク欠陥検査装置(KLA-Tencor社製)により欠陥検査を行った。その結果、タンタル系マスクには、微小黒欠陥が多数(50個超)存在していることが確認された。一方、クロム系マスクには、ほとんど微小黒欠陥は確認されなかった(マスク欠陥修正技術で実務上、修正可能な欠陥個数。)。なお、タンタル系マスクにおけるこの微小黒欠陥は、レジスト膜を形成する前のマスクブランクの汚れの除去等を目的としてUV処理、オゾン処理、あるいは加熱処理を行っても、同様に確認された。
 なお、上述のタンタル系マスクの微少黒欠陥は、フッ素系(CF)ガスを用いたドライエッチングによって反射防止層及び遮光層を一度にパターニングした場合においても、同様に確認された。
 欠陥検査により検出されたタンタル系マスクの微小黒欠陥について、走査型透過電子顕微鏡(STEM:Scanning Transmission Electron Microscope)にて明視野で断面観察を行った。断面観察を行う際には、薄膜パターンが形成された透光性基板の全面に白金合金をコーティングした。
 その結果、微小黒欠陥は、高さが遮光層と反射防止層の積層膜の膜厚とほぼ同等であることが確認された。詳しくは、微少黒欠陥は、幅が約23nm、高さが約43nmの核に、5~10nmの厚さの表面酸化物と思われる物質が積層した積層構造物であることが確認できた(図1参照)。
 この結果から、タンタル系マスクブランクにおけるタンタル系材料からなる薄膜の表面に、最新のマスクブランク欠陥検査装置でも検出困難な状態(厚さ)で、エッチングを阻害する物質が付着していることが、微小黒欠陥の発生要因になっている可能性を考えた。具体的には、エッチング阻害物質として、フッ化カルシウム(沸点:2500℃)、フッ化マグネシウム(沸点:1260℃)、フッ化アルミニウム(沸点:1275℃)、や、塩化カルシウム(沸点:1600℃)、塩化マグネシウム(沸点:1412℃)、またはそれらの化合物を考えた。これらの物質は、いずれも沸点が高く、フッ素系ガスや塩素系ガスによる薄膜のドライエッチングの際に、エッチング阻害物質となるためである。
 次に、タンタル系マスクブランクとクロム系マスクブランクとの間で、転写用マスクを作製した時に発生する微小黒欠陥の個数に大きな差が生じる理由が、前記のエッチング阻害物質にあるのかを確認するため、マスクブランク欠陥検査装置では検出されないマスクブランク表面のエッチング阻害物質の存在について調べた。
 具体的には、アルカリ性洗浄液により表面洗浄された上述の2種類のマスクブランク(タンタル系マスクブランク、及び、クロム系マスクブランク)をそれぞれ5枚ずつ準備した。そして、各マスクブランクにおける薄膜の表面を、飛行時間型二次イオン質量分析法(TOF-SIMS:Time-Of-Flight Secondary Ion Mass Spectrometry)により分析した。なお、このときのTOF-SIMSの測定条件は、一次イオン種をBi ++、一次加速電圧を30kV、一次イオン電流を3.0nA、一次イオン照射領域を一辺が200μmである四角形の内側の領域とし、二次イオンの測定範囲は、0.5~3000m/zと、いずれのマスクブランクも同条件とした。
 その結果、いずれのタンタル系マスクブランクにおいても、その薄膜の表面にエッチングを阻害する物質であるフッ化カルシウム、フッ化アルミニウム、フッ化マグネシウム、塩化カルシウム、および塩化マグネシウムの各イオンのうちの少なくとも1種以上が検出された。フッ化カルシウム、フッ化アルミニウム、フッ化マグネシウム、塩化カルシウム、塩化マグネシウムが検出された場合は、いずれも規格化二次イオン強度が、2.0×10-4よりも大きかった。
 一方、クロム系マスクブランクにおいては、エッチングを阻害する物質であるフッ化カルシウム、フッ化アルミニウム、フッ化マグネシウム、塩化カルシウム、および塩化マグネシウムの各イオンの規格化二次イオン強度は、いずれも極小であった(1.0×10-4未満)。
 上述したように、タンタル系マスクブランクの薄膜の表面に付着していると推察されるエッチング阻害要因物質は厚みが薄いことから、マスクブランクの欠陥検査装置では検出困難である。薄膜の全面を原子間力顕微鏡(AFM)で走査してエッチング阻害要因物質が付着している箇所を特定することは不可能ではないが、検出に膨大な時間を要する。このため、洗浄液による表面洗浄を行ったタンタル系マスクブランクの薄膜(タンタル系膜)の上に、エッチング阻害要因物質が付着する恐れの少ないクロム系材料からなる薄膜を100nmの膜厚で2層分積層した。このようにすることで、タンタル系材料の薄膜にエッチング阻害要因物質が存在している凸部があれば、いわゆるデコレーション効果で凸部の高さが相対的に高くなり、マスクブランクの欠陥検査装置で凸欠陥として検出できるようになる。
 このような手法を使い、マスクブランクの欠陥検査装置で欠陥検査を行い、全ての凸欠陥の位置を特定した。特定した複数の凸欠陥について、走査型透過電子顕微鏡(STEM:Scanning Transmission Electron Microscope)にて暗視野で断面観察を行ったところ、表面にエッチング阻害要因物質からなる層が形成されていることを確認することができた(図2参照)。このとき、STEMに付属するエネルギー分散型X線分光器(EDX)を用いて、エッチング阻害要因物質を構成する元素について分析も行った。EDXによる分析は、エッチング阻害物質の存在が確認されているタンタル系薄膜の表面上の部分(図2中のSpot1という記号で示された部分)と、参照データとして、エッチング阻害物質の存在が確認されていないタンタル系薄膜の表面上の部分(図2中のSpot2という記号で示された部分)のそれぞれに対して行った。その結果、Spot1の箇所では、Ca(カルシウム)とO(酸素)の検出強度が高かったのに対し、Spot2の箇所では、Ca(カルシウム)の検出強度が非常に小さかった。この分析結果から、Spot1には、カルシウムを含有する物質からなる層が存在していると推定できる。
 クロム系マスクブランクについても、同様にクロム系材料からなる薄膜を積層した上で、マスクブランクの欠陥検査装置で欠陥検査を行った。検出された凸欠陥について、同様にSTEMでの断面観察とEDXによる元素の特定を行ったが、同様の層は見当たらなかった。
 以上のTOF-SIMSとSTEMの結果から、タンタル系マスクブランクとクロム系マスクブランクとの間で、転写用マスクを作製した時に発生する微小黒欠陥の個数に大きな差が生じる理由が、そのエッチング阻害物質の付着数の違いによるものであることが明らかとなった。
 上記の各種検証の結果、タンタル系マスクブランクから転写用マスクを作製したときに多発する微小黒欠陥は、以下のように発生したものと推察される。
 (1)マスクブランクの薄膜の表面には、フッ化カルシウム等のエッチング阻害物質が強固に付着している。このエッチング阻害物質の厚みは、極めて薄いので、最新のマスクブランクの欠陥検査装置によっても検出困難である(図3A)。
 (2)フッ素系ガスによるドライエッチングにより、マスクブランクの薄膜表面の反射防止層(TaO)をパターニングする。このとき、反射防止層の表面に付着しているフッ化カルシウムは沸点が高く、フッ素系ガスによってもエッチングされにくいため、エッチング阻害物質となる(図3B)。このエッチング阻害物質がマスクとなって、反射防止層(TaO)の一部がエッチングされずに残存する(図3C)。
 (3)塩素系ガスによるドライエッチングによって、遮光層(TaN)をパターニングする。このとき、TaOは塩素系ガスに対するエッチングレートがTaNに比べて大幅に小さいことから、反射防止層の残りがマスクとなって、遮光層(TaN)の一部がエッチングされずに残存する。これにより、微少黒欠陥の核が形成される(図3D)。
 (4)その後、微小黒欠陥の核の表面が酸化され、核の周りに酸化層が形成されることによって、基板(合成石英ガラス)の表面に微小黒欠陥が形成される(図3E)。
 前記の微小黒欠陥の発生メカニズムについては、フッ化カルシウムについて説明したが、エッチング阻害物質となるフッ化マグネシウム、フッ化アルミニウムについても、上述と同様のメカニズムにより微小黒欠陥を発生させると考えられる。また、塩化カルシウムや塩化マグネシウムは、塩素系ガスでドライエッチングした場合に、沸点が高くドライエッチングされにくいため、これらもエッチング阻害物質となりうる。
 以上の実験、考察の結果、転写用マスクにおける微小黒欠陥の発生を抑制するマスクブランクとしては、以下の構成とするとよいという結論に至った。
 具体的には、本発明のマスクブランクは、基板上に薄膜が形成された構造を有するマスクブランクであって、前記薄膜は、タンタル、タングステン、ジルコニウム、ハフニウム、バナジウム、ニオブ、ニッケル、チタン、パラジウム、モリブデンおよびケイ素から選ばれる1以上の元素を含有する材料からなり、一次イオン種がBi ++、一次加速電圧が30kV、一次イオン電流が3.0nAの測定条件とした飛行時間型二次イオン質量分析法(TOF-SIMS)によって、前記薄膜の表面を測定したときのフッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオン、および塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度が、2.0×10-4以下であることを特徴とするものである。
 前記のTOF-SIMSによって薄膜の表面を測定した結果を考慮すると、転写用マスクを作製した際における微小黒欠陥の発生個数を50個以下に抑制するには、TOF-SIMSによって薄膜の表面を測定したときにおける、フッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオン、および塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度は、少なくとも2.0×10-4以下とすることが必要である。また、転写用マスクを作製した際における微小黒欠陥の発生個数をさらに抑制する(例えば、40個以下)には、TOF-SIMSによって薄膜の表面を測定したときにおける、フッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度は、少なくとも1.5×10-4以下とすることが好ましい。さらに好ましくは、TOF-SIMSによって薄膜の表面を測定したときにおける、フッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度は、少なくとも1.0×10-4以下である。
 前記のTOF-SIMSによる薄膜の表面の測定におけるその他の測定条件としては、一次イオン照射領域を一辺が200μmである四角形の内側の領域とすると好ましい。また、二次イオンの測定範囲は、0.5~3000m/zとすると好ましい。
 また、マスクブランクの構成として、基板上に薄膜が形成された構造を有するマスクブランクであって、前記薄膜は、タンタル、タングステン、ジルコニウム、ハフニウム、バナジウム、ニオブ、ニッケル、チタン、パラジウム、モリブデンおよびケイ素から選ばれる1以上の元素を含有する材料からなり、一次イオン種がBi ++、一次加速電圧が30kV、一次イオン電流が3.0nAの測定条件とした飛行時間型二次イオン質量分析法(TOF-SIMS)によって、前記薄膜の表面を測定したときのフッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンの規格化二次イオン強度が、2.0×10-4以下であると、より好ましい。さらに、TOF-SIMSによって薄膜の表面を測定したときにおける、フッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンの規格化二次イオン強度が、1.5×10-4以下であると好ましく、1.0×10-4以下であると特に好ましい。
 前記マスクブランクにおいて、基板上に形成される薄膜は、タンタル(Ta)、タングステン(W)、ジルコニウム(Zr)、ハフニウム(Hf)、バナジウム(V)、ニオブ(Nb)、ニッケル(Ni)、チタン(Ti)、パラジウム(Pd)、モリブデン(Mo)およびケイ素(Si)から選ばれる1以上の金属を含有する材料で形成されていることが好ましい。また、光学特性やエッチング特性の制御の視点から、上述の材料に、酸素、窒素、炭素、ホウ素、水素、フッ素等が含まれていると好ましい。これらの材料からなる薄膜は、フッ素系ガスや実質的に酸素を含まない塩素系ガスを用いたドライエッチングで、半導体デザインルールでいうDRAMハーフピッチ32nm以降の世代に対応する転写パターンを形成することが可能である。例えば、DRAMハーフピッチ32nm以降の世代に対応する転写パターンに形成されることが多い、線幅40nm以下のSRAF(Sub-Resolution Assist Feature)等の補助パターンを形成することが可能である。
 前記のフッ素を含有するエッチングガス(フッ素系ガス)としては、CHF、CF、SF、C、C等が挙げられる。前記の塩素を含有するエッチングガス(塩素系ガス)としては、Cl、SiCl、CHCl、CHCl、CCl等が挙げられる。また、ドライエッチングガスとしては、前記のフッ素系ガス、塩素系ガス以外に、He、H、Ar、C等のガスを添加した混合ガスを用いることもできる。
 ここで、フッ素系ガスや実質的に酸素を含有しない塩素系ガスをエッチングガスとするドライエッチングの場合、イオン主体のドライエッチングになる傾向が強い。イオン主体のドライエッチングの場合、異方性のドライエッチングに制御しやすく、薄膜に形成されるパターンの側壁の垂直性を高くできるという優れた効果がある。しかし、異方性のドライエッチングの場合、パターン側壁方向のエッチングが抑制されるため、薄膜上にフッ化カルシウム等のエッチング阻害物質があると、そのドライエッチングで除去されにくくなってしまう。
 一方、酸素ガスと塩素系ガスの混合ガスをエッチングガスとするドライエッチングの場合、ラジカル主体のドライエッチングになる傾向が強い。ラジカル主体のドライエッチングの場合、異方性のドライエッチングに制御することが難しく、薄膜に形成されるパターンの側壁の垂直性を高くすることは容易ではない。しかし、このような等方性の傾向を有するドライエッチングの場合、パターン側壁方向のエッチングも比較的進みやすいため、薄膜上にエッチング阻害物質があっても、そのドライエッチング時に比較的除去されやすい。
 前記の実験において、タンタル系マスクブランクのタンタル系材料からなる薄膜にパターンを形成するドライエッチングを行ったときに使用するエッチングガスは、フッ素系ガスと実質的に酸素を含有しない塩素系ガスであった。よって、イオン主体のドライエッチングの傾向が強く、エッチング阻害物質が除去されにくい。また、タンタル系マスクブランク以外においても、前記に列挙したマスクブランクの薄膜は、いずれもイオン主体のドライエッチングが可能な材料で形成されているため、薄膜表面にエッチング阻害物質が存在すると、ドライエッチング時に微小黒欠陥が発生しやすいといえる。一方、前記の実験において、クロム系マスクブランクのクロム系材料からなる薄膜にパターンを形成するドライエッチングを行ったときに使用するエッチングガスは、塩素系ガスと酸素ガスの混合ガスであった。よって、ラジカル主体のドライエッチングの傾向が強く、エッチング阻害物質が比較的除去されやすい。このことも、クロム系マスクブランクから転写用マスクを作製したときの微小黒欠陥の発生数が少ない理由の1つに挙げることができる。
 上述の理由から、前記マスクブランクの薄膜は、フッ素を含有するエッチングガスまたは塩素を含有するエッチングガスを用いたドライエッチングによって薄膜パターンを形成するために設けられたものであることが好ましい。特に、塩素を含有するエッチングガスの中でも、酸素を実質的に含有しない塩素を含有するエッチングガスが好ましい。ここで、酸素を実質的に含有しない塩素を含有するエッチングガスとは、そのエッチングガス中の酸素濃度が少なくとも5体積%以下であるものをいい、より好ましくは3体積%以下である。また、前記薄膜は、イオン主体のエッチングによってパターンが形成されることがより好ましい。
 前記マスクブランクの薄膜の材料は、タンタルを含有する材料であることが好ましい。また、タンタルを含有する材料で薄膜を形成する場合、その薄膜の表層に、表層以外の部分に比べて酸素を多く含有する酸化層が形成されていることが好ましい。このような薄膜の例として、タンタル窒化膜(TaN膜)やタンタル膜(Ta膜)の表層に酸化層(TaO、特に酸素含有量が60at%以上であり、Ta結合の存在比率の高い高酸化層)が形成されている薄膜が挙げられる。タンタルを含有する酸化層の表層の表面には、水酸基(OH基)が多く存在する。表面に水酸基が多く存在すると、後述の理由からカルシウム等の物質が付着しやすいため、本発明の効果がより多く得られる。
 前記マスクブランクにおけるタンタルを含有する材料からなる薄膜は、基板側から下層と上層の積層構造を有し、その上層は、酸素を含有していることが好ましい。より好ましくは、タンタルと窒素とを含有する材料からなる下層と、タンタルと酸素を含有する材料からなる上層とが積層された積層膜である。この場合において、上層の表層に、その他の上層内の領域よりも多くの酸素(例えば、酸素含有量が60at%以上)を含有し、Ta結合の存在比率の高い高酸化層が形成されていてもよい。タンタルを含有する酸化層やタンタル酸化膜は、その表面における水酸基(OH基)の存在比率が高くなる傾向がある。表面に水酸基が多く存在すると、後述の理由からカルシウム等の物質が付着しやすいため、本発明の効果がより多く得られる。ここで、タンタルと窒素とを含有する材料としては、TaN、TaBN、TaCN、TaBCN等が挙げられるが、タンタルと窒素以外の他の元素を含んでも構わない。また、タンタルと酸素とを含有する材料としては、TaO、TaBO、TaCO、TaBCO、TaON、TaBON、TaCON、TaBCON等が挙げられるが、タンタルと酸素以外の他の元素を含んでも構わない。
 また、前記マスクブランクにおけるタンタルを含有する材料からなる薄膜は、基板側から、タンタルのみからなる下層と、タンタルと酸素を含有する材料からなる上層とが積層された構造としてもよい。特に、酸素および窒素を含有しない材料であるタンタルのみからなる材料は、酸素を実質的に含有しない塩素を含有するエッチングガスを用いたドライエッチングでのエッチングレートが、タンタルと窒素とを含有する材料に比べて大きい。なお、タンタルと酸素を含有する材料からなる上層に関しては、前記の上層と同様である。
 また、前記マスクブランクにおけるタンタルを含有する材料からなる薄膜は、基板側から、タンタルとケイ素を含有する材料からなる下層と、タンタルと酸素を含有する材料からなる上層とが積層された構成としてもよい。タンタルにケイ素を含有させた材料は、タンタルと窒素を含有する材料よりも、材料中の結晶状態をより微結晶または非晶質とすることができる。また、タンタルにケイ素を含有させることで、露光光に対する光学濃度(消衰係数)をタンタルのみからなる材料よりも高くすることができる。特に、タンタルとケイ素のみからなる材料の場合、材料中のタンタル(Ta)とケイ素(Si)の混合比率がTa:Si=1:2(原子%比)のときに消衰係数が最大となり、下層の厚さを大幅に低減することができる。
 他方、タンタルにケイ素を含有させることで、酸素を実質的に含有しない塩素を含有するエッチングガスを用いたドライエッチングでのエッチングレートを、タンタルのみからなる材料よりも大きくすることができる。特に、タンタルとケイ素のみからなる材料の場合、材料中のケイ素の含有量を増やしていくに従い、そのエッチングレートは大きくなっていき、材料中のタンタル(Ta)とケイ素(Si)の混合比率がTa:Si=1:2(原子%比)のときにそのエッチングレートが最大となる。
 これらのことを考慮すると、下層を構成する材料中のタンタルとケイ素の合計含有量[原子%]に対するタンタルの含有量[原子%]の比率[%]は、20%以上が好ましく、30%以上であるとより好ましく、33%以上であるとさらに好ましい。また、下層を構成する材料中のタンタルとケイ素の合計含有量[原子%]に対するタンタルの含有量[原子%]の比率[%]は、95%以下が好ましく、90%以下であるとより好ましく、85%以下であるとさらに好ましい。なお、タンタルと酸素を含有する材料からなる上層に関しては、前記の上層と同様である。
 マスクブランクの薄膜の表面にカルシウム、マグネシウム、アルミニウム等の物質が付着する1つの要因としては、薄膜の表面洗浄を行うときに使用する洗剤(界面活性剤)が挙げられる。マスクブランクの表面洗浄に使用する界面活性剤には、その製法およびpHによっては、不純物としてカルシウムイオン(Ca2+)、マグネシウムイオン(Mg2+)、アルミニウムイオン(Al3+)、アルミニウム水酸化物イオン(Al(OH) )が含まれている場合があり、これらはイオン化していることから除去することが困難である。前記のTOF-SIMSにより検出されたカルシウム等は、今回使用した洗浄液に含まれる界面活性剤中に含まれていたものと考えられる。
 上述したように、界面活性剤を含むアルカリ性洗浄液による洗浄処理後、タンタル系マスクブランクの表面には、エッチング阻害物質としてのフッ化カルシウム等が検出された。一方、クロム系マスクブランクの表面には、フッ化カルシウム等はほとんど検出されなかった。以下、このような違いが生じた原因について考察する。なお、以下の考察は、出願時点における本発明者らの推測に基づくものであり、本発明の範囲を何ら制限するものではない。
 タンタル系マスクブランクの表面には、水酸基(OH基)が多数存在しており、この水酸基に、洗浄液に含まれるカルシウムイオン(Ca2+)、マグネシウムイオン(Mg2+)が引き寄せられる(図4A)。そして、洗浄液による洗浄処理後、洗浄液を洗い流すための純水によるリンスの際に、マスクブランクの表面を覆う液体がアルカリ性(pH10)から中性(pH7前後)に急激に変化するため、マスクブランクの表面に引き寄せられていたカルシウムイオン、マグネシウムイオンが、水酸化カルシウム(Ca(OH))、水酸化マグネシウム(Mg(OH))となって膜表面に析出しやすくなる(図4B)。この水酸化カルシウム、水酸化マグネシウムが、その後の工程において、フッ素や塩素と結合してフッ化物や塩化物となり、マスクブランク表面のエッチング阻害物質となったと考えられる。
 一方、クロム系マスクブランクの表面には、水酸基(OH基)が少数しか存在していない。このため、マスクブランクの表面には、洗浄液に含まれるカルシウムイオン、マグネシウムイオンがあまり引き寄せられない。もともと洗浄液に含まれる不純物であるカルシウム等の濃度自体が低いため、膜表面近傍のカルシウムイオン、マグネシウムイオンの濃度は極めて低くなっている(図5A)。その結果、洗浄液による洗浄処理後、洗浄液を洗い流すための純水によるリンスの際にも、マスクブランクの表面に引き寄せられていたカルシウムイオン、マグネシウムイオンが、水酸化カルシウム、水酸化マグネシウムとなる前に膜表面から洗い流されるか、あるいは、エッチングを阻害しない程度の少数しか水酸化カルシウム、水酸化マグネシウムとなって膜表面に析出しない(図5B)。
 前記マスクブランクにおいて、基板は、露光光に対して透過性を有するガラス基板であり、薄膜は、このマスクブランクから転写用マスクを作製する際に転写パターンを形成するために用いられるものであることが好ましい。このような構成のマスクブランクを透過型マスクブランクともいう。また、この透過型マスクブランクから作製された転写用マスクを透過型マスクともいう。この構成のマスクブランクの場合、転写パターンを形成するための薄膜の例としては、露光光を遮光する機能を有する遮光膜、被転写体との多重反射を抑制するために表面の反射を抑制する機能を有する反射防止膜、パターンの解像性を高めるため露光光に対して所定の透過率と所定の位相差を生じさせる機能を有する位相シフト膜等が挙げられる。また、転写パターンを形成するための薄膜の例としては、露光光に対して所定の透過率は生じさせるが、位相シフト効果が生じるような位相差は生じさせない半透過膜も含まれる。このような半透過膜を有するマスクブランクは、エンハンサ型位相シフトマスクを製造する際に主に用いられる。これらの薄膜は、単層膜であってもよいし、これらの膜を複数積層させた積層膜であってもよい。なお、これらの転写パターンを形成するための薄膜を備えるマスクブランクから製造される転写用マスクには、露光光として、ArFエキシマレーザー光やKrFエキシマレーザー光等が適用される。
 前記マスクブランクにおいて、基板と薄膜の間に露光光を反射する機能を有する多層反射膜を備え、薄膜は、このマスクブランクから転写用マスクを作製する際に転写パターンを形成するために用いられるものであることが好ましい。このような構成のマスクブランクを反射型マスクブランクともいう。また、その反射型マスクブランクから作製された転写用マスクを反射型マスクともいう。この反射型マスクブランクにおいて、転写パターンを形成するための薄膜の例としては、露光光を吸収する機能を有する吸収体膜、露光光の反射を低減させる反射低減膜、上述の吸収体膜のパターニング時の多層反射膜に対するエッチングダメージを防止するためのバッファ層などが挙げられる。なお、本発明の転写用マスクには、前記の反射型マスクが含まれる。この反射型マスクには、露光光として、EUV(Extreme Ultra Violet)光が適用されることが好ましい。EUV光は、0.1nm~100nmの間の波長を有する光(電磁波)であるが、特に使用されているのは、波長が13nm~14nmの光(電磁波)である。
 反射型マスクブランクの多層反射膜の構成としては、例えば、ケイ素膜(Si膜、膜厚4.2nm)とモリブデン膜(Mo膜、膜厚2.8nm)を1周期とし、これを複数周期(20周期~60周期、40周期前後が好ましい。)積層した膜構造が用いられることが多い。また、多層反射膜と、吸収体膜やバッファ層との間に、多層反射膜を保護する保護膜(例えば、Ru、RuNb、RuZr、RuY、RuMo等)を設ける場合もある。
 マスクブランクを構成する膜として、下層の膜をエッチングする際にエッチングマスク(ハードマスク)として機能するエッチングマスク膜(又はハードマスク膜)を、上述の転写パターンとなる薄膜以外に設けても良い。または、転写パターンとなる薄膜を積層膜とし、その積層膜の一部として、エッチングマスク(ハードマスク)を設けても良い。
 前記基板は、透過型マスクブランクの場合、露光光を透過する材料であれば良く、例えば、合成石英ガラスが挙げられる。反射型マスクブランクの場合、露光光の吸収による熱膨張を防止できる材料であれば良く、例えば、TiO-SiO低膨張ガラス、β石英固溶体を析出させた結晶化ガラス、単結晶シリコン、SiC等が挙げられる。
 前記の転写用マスクは、前記のマスクブランクの薄膜にドライエッチングによって転写パターンを形成する工程を有する製造方法で製造されることが好ましい。また、この転写用マスクの製造方法におけるドライエッチングには、フッ素を含有するエッチングガスまたは塩素を含有するエッチングガスを用いるとより好ましい。
 前記のマスクブランクの薄膜に対して、フッ素を含有するエッチングガスや塩素を含有するエッチングガスを用いたドライエッチングを行う場合、エッチングを阻害する物質としては、前記に列挙した物質のほかに、マンガン、鉄、ニッケルがある。このため、前記のマスクブランクにおいて、一次イオン種がBi ++、一次加速電圧が30kV、一次イオン電流が3.0nAの測定条件とした飛行時間型二次イオン質量分析法(TOF-SIMS)によって、前記薄膜の表面を測定したときのマンガンイオン、鉄イオンおよびニッケルイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度が、1.0×10-3以下であることが好ましい。さらに、前記規格化二次イオン強度が、5.0×10-4以下であるとより好ましく、1.0×10-4以下であると特に好ましい。
 上述の通り、マスクブランクの薄膜の表面に、前記のエッチング阻害要因物質等が付着する大きな要因として、基板上に薄膜を成膜した後等に行われる界面活性剤を含有するアルカリ性洗浄液を用いた表面洗浄がある。製法に起因して洗浄液に一度混入してしまったエッチング阻害物質やエッチング阻害要因物質をこの洗浄液から取り除くことは、固体の状態で存在している場合でも容易ではなく、イオンの状態で存在している場合は除去が困難である。このため、マスクブランクの薄膜を洗浄する洗浄液は、カルシウム、マグネシウム、アルミニウム、フッ化カルシウム、フッ化マグネシウム、フッ化アルミニウム、塩化カルシウム、塩化マグネシウム等のエッチング阻害物質やエッチング阻害要因物質が検出下限値以下であるもの(例えば、DI水)を使用することが最も好ましい。
 しかし、特に、界面活性剤を含有するアルカリ性洗浄液の場合、これらのエッチング阻害物質やエッチング阻害要因物質が混入することを回避することは難しい。エッチング阻害物質やエッチング阻害要因物質の濃度が異なる複数の洗浄液を用いて、マスクブランクの薄膜の表面を洗浄した後、薄膜をドライエッチングして微小黒欠陥の発生数を検証した。その結果、エッチング阻害物質やエッチング阻害要因物質等の洗浄液中の濃度が0.3ppb以下であれば、微少黒欠陥の発生数を実用上問題ないレベルに抑制できることを確認できた。以上のことから、前記マスクブランクの薄膜に対して行う表面洗浄には、前記のエッチング阻害物質やエッチング阻害要因物質の濃度が0.3ppb以下の洗浄液を用いることが好ましい。
 マスクブランクの薄膜がレジスト膜との密着性が低い材料(特に、Siを含有する材料)で形成されている場合、レジスト膜に形成された微細パターンの剥がれや倒れを防止するために、マスクブランクの表面エネルギーを低減させるための処理を行う場合がある。この表面処理では、マスクブランクの表面をアルキルシリル化するための表面処理液、例えば、ヘキサメチルジシラザン(HMDS)や、その他の有機シリコン系の表面処理液が用いられる。これらの表面処理液についても、エッチング阻害物質やエッチング阻害要因物質の濃度が検出下限値以下であることが好ましい。ただし、表面処理液に含まれるエッチング阻害物質やエッチング阻害要因物質の濃度が0.3ppb以下であっても、本発明のマスクブランクを製造することができる。
 なお、前記の各処理液に含まれるエッチング阻害物質やエッチング阻害要因物質の濃度は、マスクブランクの表面に供給する直前の処理液について、誘導結合プラズマ発光分光分析法(ICP-MS:Inductively Coupled Plasma-Mass Spectroscopy)により測定可能であり、該分析方法に基づいて検出される元素(検出限界以下の元素を除く)の合計濃度のことをいう。なお、この分析法では、元素の特定は可能であるが、元素間の結合状態を特定することは困難である。よって、例えば、液体中のカルシウム濃度の検出値は、カルシウムとカルシウム化合物(フッ化カルシウム、塩化カルシウム等)の総量で算出した濃度になる(マグネシウム、アルミニウムの場合においても同様である。)。
 また、前記の各マスクブランクの構成において、一次イオン種がBi ++、一次加速電圧が30kV、一次イオン電流が3.0nAの測定条件とした飛行時間型二次イオン質量分析法(TOF-SIMS)によって、前記薄膜の表面を測定したときのカルシウムイオン、マグネシウムイオンおよびアルミニウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度が、1.0×10-3以下である構成をさらに付け加えると、より好ましい。このTOF-SIMSによって薄膜の表面を測定したときにおける、カルシウムイオン、マグネシウムイオンおよびアルミニウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度は、5.0×10-4以下であると好ましく、1.0×10-4以下であると特に好ましい。
 また、前記の各マスクブランクの構成において、一次イオン種がBi ++、一次加速電圧が30kV、一次イオン電流が3.0nAの測定条件とした飛行時間型二次イオン質量分析法(TOF-SIMS)によって、前記薄膜の表面を測定したときのカルシウムイオン、マグネシウムイオンおよびアルミニウムイオンの規格化二次イオン強度が、1.0×10-3以下である構成をさらに付け加えると、より好ましい。このTOF-SIMSによって薄膜の表面を測定したときにおける、カルシウムイオン、マグネシウムイオンおよびアルミニウムイオンの規格化二次イオン強度は、5.0×10-4以下であると好ましく、1.0×10-4以下であると特に好ましい。
 前記の各構成において、フッ化物や塩化物のイオン群の方が、化合物ではないイオン群よりも、規格化二次イオン強度の上限を小さく設定している。カルシウム等の物質は、フッ素や塩素と結合した化合物の状態のときに沸点が非常に高くなり、薄膜の膜面から揮発しにくくなって、薄膜のエッチングを阻害する物質となる。フッ素系ガスや塩素系ガスによるエッチングを行う前の薄膜の表面に、既にフッ素や塩素と結合した状態のカルシウム等の物質が存在する場合、フッ素系ガスや塩素系ガスによるエッチングを始める時点でこれらの物質がエッチング阻害物質として作用してしまう。これに対して、フッ素や塩素と結合していない状態のカルシウム等の物質は、フッ素系ガスや塩素系ガスによるエッチングが始まってから、そのフッ素系ガスや塩素系ガスと反応し、フッ化物や塩化物になったときからエッチング阻害物質として作用し始める。ドライエッチングの場合、高いエネルギーのプラズマ状態のフッ素系ガスや塩素系ガスが薄膜の表面に当たるため、カルシウム等の物質の一部が薄膜の表面から飛ばされてしまい、エッチング阻害物質とならないカルシウム等の物質もある程度の比率で発生する。以上のことから、カルシウムイオン、マグネシウムイオンおよびアルミニウムイオンについては、フッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンの場合よりも、TOF-SIMSで測定した時の規格化二次イオン強度の上限を高くしても、転写用マスクを作製した時の微小黒欠陥の発生数を低減する効果は得られるといえる。
 次に、本発明のマスクブランクについて、実施例および比較例を用いて説明する。
(実施例1,比較例1)
 主表面および端面が精密研磨された合成石英ガラス基板(約152.1mm×約152.1mm×約6.25mm)を複数枚準備した。次に、各ガラス基板の主表面上に、タンタルを含有する材料からなる薄膜を形成した。具体的には、ガラス基板側から、TaNからなり、膜厚が42nmである下層(Ta:N=84:16 at%比)と、TaOからなり、膜厚が9nmの上層(Ta:O=42:58 at%比)が積層した薄膜を形成した。以上の手順により、半導体デザインルールDRAMハーフピッチ32nm対応のArFエキシマレーザー露光用の複数枚のバイナリーマスクブランクを準備した。
 準備した複数枚のバイナリーマスクブランクから5枚選定し、各マスクブランクの薄膜表面に対し、表1に示す洗浄液A~Eのそれぞれを用いた表面洗浄処理(スピン洗浄)を行った。さらに、各洗浄液で表面洗浄した各マスクブランク(マスクブランクA1~E1)に対し、DI水を用いたリンス洗浄(スピン洗浄)を行ってから、スピン乾燥処理を行った。
 スピン乾燥後の各マスクブランクの薄膜の表面に対し、TOF-SIMSによって、フッ化カルシウムイオンおよび塩化カルシウムイオンの規格化二次イオン強度を測定した。その結果を、表1に示す。なお、このTOF-SIMSにおける測定条件は、以下のとおりである。
   一次イオン種   :Bi ++
   一次加速電圧   :30kV
   一次イオン電流  :3.0nA
   一次イオン照射領域:一辺200μmの四角形の内側領域
   二次イオン測定範囲:0.5~3000m/z
Figure JPOXMLDOC01-appb-T000001
 前記と同様の表面洗浄処理を行ったマスクブランクA1~E1を別に準備した。準備した各マスクブランクの表面に、ポジ型の化学増幅型レジスト(PRL009:富士フィルムエレクトロニクスマテリアルズ社製)をスピンコーティングにより塗布した後、プリベークを行い、レジスト膜を形成した。
 次に、レジスト膜に対して描画・現像・リンスを行い、マスクブランク表面にレジストパターンを形成した後、レジストパターンをマスクにしてフッ素系(CF)ガスを用いたドライエッチングを行い、上層をパターニングして上層パターンを形成し(このとき、下層の一部もエッチングされる)、その後、塩素系(Cl)ガスを用いたドライエッチングを行い、上層パターンをマスクにして下層をパターニングして下層パターンを形成し、最後にレジストパターンを除去して、転写用マスクをそれぞれ作製した。
 この得られた各転写用マスクについて、マスク欠陥検査装置(KLA-Tencor社製)を用いて転写パターン形成領域内(132mm×104mm)の欠陥検査を行った。各転写用マスクで検出された黒欠陥数を、それぞれ表1に示す。
 以上の結果から、上記測定条件でマスクブランクにおける薄膜の表面に対してTOF-SIMSで測定したフッ化カルシウムイオンおよび塩化カルシウムイオンの規格化二次イオン強度が2.0×10-4以下であるマスクブランクを選定することにより、転写用マスクを作製したときの微小黒欠陥の発生数を50個以下に抑制することができることがわかる。
(実施例2,比較例2)
 実施例1および比較例1の場合と同様に、ガラス基板側から、TaNの下層とTaOの上層が積層した薄膜を有する、半導体デザインルールDRAMハーフピッチ32nm対応のArFエキシマレーザー露光用の複数枚のバイナリーマスクブランクを準備した。
 準備した複数枚のバイナリーマスクブランクから5枚選定し、各マスクブランクの薄膜表面に対し、表2に示す洗浄液F~Jのそれぞれを用いた表面洗浄処理(スピン洗浄)を行った。さらに、各洗浄液で表面洗浄した各マスクブランク(マスクブランクF1~J1)に対し、DI水を用いたリンス洗浄(スピン洗浄)を行ってから、スピン乾燥処理を行った。
 スピン乾燥後の各マスクブランクの薄膜の表面に対し、TOF-SIMSによって、フッ化マグネシウムイオンおよび塩化マグネシウムイオンの規格化二次イオン強度を測定した。その結果を、表2に示す。なお、このときのTOF-SIMSにおける測定条件は、実施例1および比較例1と同様である。
Figure JPOXMLDOC01-appb-T000002
 前記と同様の表面洗浄処理を行ったマスクブランクF1~J1を別に準備した。準備した各マスクブランクを用いて、実施例1および比較例1と同様の手順により、転写用マスクを作製した。さらに、得られた各転写用マスクについて、マスク欠陥検査装置(KLA-Tencor社製)を用いて転写パターン形成領域内(132mm×104mm)の欠陥検査を行った。各転写用マスクで検出された黒欠陥数を、それぞれ表2に示す。
 以上の結果から、上記測定条件でマスクブランクにおける薄膜の表面に対してTOF-SIMSで測定したフッ化マグネシウムイオンおよび塩化マグネシウムイオンの規格化二次イオン強度が2.0×10-4以下であるマスクブランクを選定することにより、転写用マスクを作製したときの微小黒欠陥の発生数を50個以下に抑制することができることがわかる。
(実施例3,比較例3)
 実施例1および比較例1の場合と同様に、ガラス基板側から、TaNの下層とTaOの上層が積層した薄膜を有する、半導体デザインルールDRAMハーフピッチ32nm対応のArFエキシマレーザー露光用の複数枚のバイナリーマスクブランクを準備した。
 準備した複数枚のバイナリーマスクブランクから5枚選定し、各マスクブランクの薄膜表面に対し、表3に示す洗浄液K~Pのそれぞれを用いた表面洗浄処理(スピン洗浄)を行った。さらに、各洗浄液で表面洗浄した各マスクブランク(マスクブランクK1~P1)に対し、DI水を用いたリンス洗浄(スピン洗浄)を行ってから、スピン乾燥処理を行った。
 スピン乾燥後の各マスクブランクの薄膜の表面に対し、TOF-SIMSによって、フッ化アルミニウムイオンの規格化二次イオン強度を測定した。その結果を、表3に示す。なお、このときのTOF-SIMSにおける測定条件は、実施例1および比較例1と同様である。
Figure JPOXMLDOC01-appb-T000003
 前記と同様の表面洗浄処理を行ったマスクブランクK1~P1を別に準備した。準備した各マスクブランクを用いて、実施例1および比較例1と同様の手順により、転写用マスクを作製した。さらに、得られた各転写用マスクについて、マスク欠陥検査装置(KLA-Tencor社製)を用いて転写パターン形成領域内(132mm×104mm)の欠陥検査を行った。それらの結果を、表3に示す。
 以上の結果から、上記測定条件でマスクブランクにおける薄膜の表面に対してTOF-SIMSで測定したフッ化アルミニウムイオンの規格化二次イオン強度が2.0×10-4以下であるマスクブランクを選定することにより、転写用マスクを作製したときの微小黒欠陥の発生数を50個以下に抑制することができることがわかる。

Claims (11)

  1.  基板上に薄膜が形成された構造を有するマスクブランクであって、
     前記薄膜は、タンタル、タングステン、ジルコニウム、ハフニウム、バナジウム、ニオブ、ニッケル、チタン、パラジウム、モリブデンおよびケイ素から選ばれる1以上の元素を含有する材料からなり、
     一次イオン種がBi ++、一次加速電圧が30kV、一次イオン電流が3.0nAの測定条件とした飛行時間型二次イオン質量分析法(TOF-SIMS)によって、前記薄膜の表面を測定したときのフッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンの規格化二次イオン強度が、2.0×10-4以下であることを特徴とするマスクブランク。
  2.  前記薄膜は、タンタルを含有する材料からなることを特徴とする請求項1に記載のマスクブランク。
  3.  前記薄膜は、表層に酸素を含有した酸化層を有することを特徴とする請求項2に記載のマスクブランク。
  4.  前記薄膜は、前記基板側から下層と上層の積層構造を有し、前記上層は、酸素を含有していることを特徴とする請求項2に記載のマスクブランク。
  5.  前記薄膜は、フッ素を含有するエッチングガスまたは塩素を含有するエッチングガスを用いたドライエッチングによって薄膜パターンを形成するために設けられたものであることを特徴とする請求項1から4のいずれかに記載のマスクブランク。
  6.  前記規格化二次イオン強度は、一次イオン照射領域を一辺が200μmである四角形の内側の領域とした測定条件で行われたものであることを特徴とする請求項1から5のいずれかに記載のマスクブランク。
  7.  前記フッ化カルシウムイオン、フッ化マグネシウムイオン、フッ化アルミニウムイオン、塩化カルシウムイオンおよび塩化マグネシウムイオンから選ばれる少なくとも一以上のイオンは、フッ素を含有するエッチングガスまたは塩素を含有するエッチングガスを用いたドライエッチングによって前記薄膜にパターンを形成するときに、エッチングを阻害する要因となる物質であることを特徴とする請求項1に記載のマスクブランク。
  8.  前記基板は、露光光に対して透過性を有するガラス基板であり、
     前記薄膜は、このマスクブランクから転写用マスクを作製する際に転写パターンを形成するために用いられるものであることを特徴とする請求項1から7のいずれかに記載のマスクブランク。
  9.  前記基板と薄膜の間に露光光を反射する機能を有する多層反射膜を備え、
     前記薄膜は、このマスクブランクから転写用マスクを作製する際に転写パターンを形成するために用いられるものであることを特徴とする請求項1から8のいずれかに記載のマスクブランク。
  10.  請求項1から9のいずれかに記載のマスクブランクの前記薄膜にドライエッチングによって転写パターンを形成する工程を有することを特徴とする転写用マスクの製造方法。
  11.  前記ドライエッチングは、フッ素を含有するエッチングガスまたは塩素を含有するエッチングガスを用いることを特徴とする請求項10に記載の転写用マスクの製造方法。
PCT/JP2013/052802 2012-03-14 2013-02-07 マスクブランク、及び転写用マスクの製造方法 WO2013136882A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/384,443 US20150111134A1 (en) 2012-03-14 2013-02-07 Mask blank and method of manufacturing a transfer mask
KR1020147023824A KR101862166B1 (ko) 2012-03-14 2013-02-07 마스크 블랭크, 및 전사용 마스크의 제조방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012057490 2012-03-14
JP2012-057490 2012-03-14

Publications (1)

Publication Number Publication Date
WO2013136882A1 true WO2013136882A1 (ja) 2013-09-19

Family

ID=49160801

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/052802 WO2013136882A1 (ja) 2012-03-14 2013-02-07 マスクブランク、及び転写用マスクの製造方法

Country Status (5)

Country Link
US (1) US20150111134A1 (ja)
JP (1) JP6043205B2 (ja)
KR (1) KR101862166B1 (ja)
TW (1) TWI594064B (ja)
WO (1) WO2013136882A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI738949B (zh) * 2016-12-26 2021-09-11 日商信越化學工業股份有限公司 空白光罩及其製造方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150079502A1 (en) * 2012-03-14 2015-03-19 Hoya Corporation Mask blank and method of manufacturing a transfer mask
US11327396B2 (en) * 2016-03-29 2022-05-10 Hoya Corporation Mask blank
JP6900873B2 (ja) * 2016-12-26 2021-07-07 信越化学工業株式会社 フォトマスクブランク及びその製造方法
SG11202002544SA (en) * 2017-09-21 2020-04-29 Hoya Corp Mask blank, transfer mask, and method for manufacturing semiconductor device
JP7379027B2 (ja) * 2019-09-04 2023-11-14 Hoya株式会社 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
US11454876B2 (en) * 2020-12-14 2022-09-27 Applied Materials, Inc. EUV mask blank absorber defect reduction

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179034A (ja) * 2001-12-12 2003-06-27 Hitachi Ltd 半導体集積回路装置の製造方法
JP2007118002A (ja) * 2005-10-24 2007-05-17 Schott Ag 基板、特にマスク及びマスクブランク用酸不存在洗浄方法
JP2010244075A (ja) * 2010-07-06 2010-10-28 Hoya Corp マスクブランクスの製造方法及び転写マスクの製造方法
JP2011204712A (ja) * 2010-03-24 2011-10-13 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4604292A (en) * 1985-04-26 1986-08-05 Spire Corporation X-ray mask blank process
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
CN1639846A (zh) 2002-01-28 2005-07-13 三菱化学株式会社 半导体器件用基板的清洗液及清洗方法
JP4304988B2 (ja) * 2002-01-28 2009-07-29 三菱化学株式会社 半導体デバイス用基板の洗浄方法
JP4061319B2 (ja) * 2002-04-11 2008-03-19 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
EP2056333B1 (de) * 2007-10-29 2016-08-24 ION-TOF Technologies GmbH Flüssigmetallionenquelle, Sekundärionenmassenspektrometer, sekundärionenmassenspektrometisches Analyseverfahren sowie deren Verwendungen
WO2009072529A1 (ja) * 2007-12-04 2009-06-11 Mitsubishi Chemical Corporation 半導体デバイス用基板の洗浄方法及び洗浄液
JP5638769B2 (ja) 2009-02-04 2014-12-10 Hoya株式会社 反射型マスクブランクの製造方法及び反射型マスクの製造方法
WO2011004850A1 (ja) * 2009-07-08 2011-01-13 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
JP4797114B2 (ja) * 2009-10-12 2011-10-19 Hoya株式会社 転写用マスクの製造方法及び半導体デバイスの製造方法
JP4739461B2 (ja) * 2009-10-12 2011-08-03 Hoya株式会社 転写用マスクの製造方法及び半導体デバイスの製造方法
US8435704B2 (en) * 2010-03-30 2013-05-07 Hoya Corporation Mask blank, transfer mask, and methods of manufacturing the same
US8524421B2 (en) * 2010-03-30 2013-09-03 Hoya Corporation Mask blank, transfer mask, methods of manufacturing the same and method of manufacturing a semiconductor device
KR101913431B1 (ko) * 2011-04-06 2018-10-30 호야 가부시키가이샤 마스크 블랭크의 표면 처리 방법, 및 마스크 블랭크의 제조 방법과 마스크의 제조 방법
JP5939662B2 (ja) * 2011-09-21 2016-06-22 Hoya株式会社 マスクブランクの製造方法
US20150079502A1 (en) * 2012-03-14 2015-03-19 Hoya Corporation Mask blank and method of manufacturing a transfer mask

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179034A (ja) * 2001-12-12 2003-06-27 Hitachi Ltd 半導体集積回路装置の製造方法
JP2007118002A (ja) * 2005-10-24 2007-05-17 Schott Ag 基板、特にマスク及びマスクブランク用酸不存在洗浄方法
JP2011204712A (ja) * 2010-03-24 2011-10-13 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP2010244075A (ja) * 2010-07-06 2010-10-28 Hoya Corp マスクブランクスの製造方法及び転写マスクの製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI738949B (zh) * 2016-12-26 2021-09-11 日商信越化學工業股份有限公司 空白光罩及其製造方法

Also Published As

Publication number Publication date
US20150111134A1 (en) 2015-04-23
KR20140141579A (ko) 2014-12-10
JP2013218302A (ja) 2013-10-24
JP6043205B2 (ja) 2016-12-14
TW201351026A (zh) 2013-12-16
KR101862166B1 (ko) 2018-05-29
TWI594064B (zh) 2017-08-01

Similar Documents

Publication Publication Date Title
JP6043204B2 (ja) マスクブランク、及び転写用マスクの製造方法
JP6266842B2 (ja) マスクブランク、マスクブランクの製造方法、位相シフトマスク、位相シフトマスクの製造方法及び半導体デバイスの製造方法
JP6043205B2 (ja) マスクブランク、及び転写用マスクの製造方法
KR101913431B1 (ko) 마스크 블랭크의 표면 처리 방법, 및 마스크 블랭크의 제조 방법과 마스크의 제조 방법
JP5470339B2 (ja) 転写用マスク、及び半導体デバイスの製造方法
JP6573806B2 (ja) マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
KR102625449B1 (ko) 마스크 블랭크, 마스크 블랭크의 제조 방법, 전사용 마스크, 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법
JP5939662B2 (ja) マスクブランクの製造方法
JP7039521B2 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
JP2011102969A (ja) 転写用マスクの製造方法及び半導体デバイスの製造方法
JP5925543B2 (ja) マスクブランクの表面処理方法、マスクブランクの製造方法、およびマスクの製造方法
KR101921759B1 (ko) 전사용 마스크의 제조 방법
JP5989376B2 (ja) 欠陥評価用マスクブランクの製造方法、並びに欠陥評価方法
JP5979662B2 (ja) 処理液選定方法、及びマスクブランクの製造方法、並びにマスクの製造方法
JP5900772B2 (ja) 転写用マスクの製造方法
JP5979663B2 (ja) 処理液選定方法、及びマスクブランクの製造方法、並びにマスクの製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13761049

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20147023824

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 14384443

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13761049

Country of ref document: EP

Kind code of ref document: A1