WO2010082251A1 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
WO2010082251A1
WO2010082251A1 PCT/JP2009/005678 JP2009005678W WO2010082251A1 WO 2010082251 A1 WO2010082251 A1 WO 2010082251A1 JP 2009005678 W JP2009005678 W JP 2009005678W WO 2010082251 A1 WO2010082251 A1 WO 2010082251A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulating film
interlayer insulating
semiconductor device
wiring
film
Prior art date
Application number
PCT/JP2009/005678
Other languages
English (en)
French (fr)
Inventor
森永泰規
Original Assignee
パナソニック株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by パナソニック株式会社 filed Critical パナソニック株式会社
Publication of WO2010082251A1 publication Critical patent/WO2010082251A1/ja
Priority to US13/163,189 priority Critical patent/US8338290B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Definitions

  • the technology disclosed in this specification relates to a method of manufacturing a semiconductor device including a wiring made of copper (Cu) or the like and a metal diffusion prevention film provided on the wiring.
  • LSIs Large Scale Semiconductor Integrated Circuits
  • LSIs Large Scale Semiconductor Integrated Circuits
  • a low dielectric generally called a low-k film
  • a method called a damascene method is generally used as a method for forming the Cu wiring.
  • a recess is first formed in an interlayer insulating film made of a low-k material formed on a substrate, and then a barrier metal film is formed on the inner surface of the recess and on the upper surface of the interlayer insulating film.
  • excess copper and a portion of the barrier metal film provided on the upper surface of the interlayer insulating film were removed by CMP (Chemical Mechanical Polishing) method and buried in the concave portion.
  • Cu wiring is formed.
  • a diffusion preventing film is formed on the interlayer insulating film and the metal wiring.
  • Patent Document 1 proposes a technique for suppressing the diffusion of Cu into an interlayer insulating film made of a low-k material using a SiN film as the above-described diffusion prevention film.
  • the growth of SiN starts from the grain boundary as the nucleus on the upper surface of the Cu wiring, and the thickness is about 1 ⁇ 2 or more of the crystal grain size of Cu. If the film is not formed, pinholes are formed in the diffusion preventing film. As a result, the Cu diffusion preventing property is lowered, and the reliability of the element may be deteriorated.
  • it is essential to reduce the interlayer capacitance, and it is desired to reduce the thickness of the diffusion prevention film.
  • the diffusion prevention film is thinned, pinholes are formed in the diffusion prevention film as described above. Therefore, it is difficult to miniaturize the wiring while ensuring high reliability of the semiconductor element.
  • An object of the present invention is to provide a method of manufacturing a semiconductor device capable of miniaturizing metal wiring while suppressing diffusion of wiring material in view of the above points.
  • a method of manufacturing a semiconductor device includes a step (a) of forming an interlayer insulating film on a substrate, a step (b) of forming a wiring on the interlayer insulating film, an upper surface of the wiring, and the interlayer A step (c) of supplying an organic solution to the upper surface of the insulating film; a step (d) of supplying a silylating solution to the upper surface of the wiring and the upper surface of the interlayer insulating film after the step (c); After (d), a step (e) of heating the substrate and a step (f) of forming a first liner insulating film on at least the upper surface of the wiring are provided.
  • the silylation solution is supplied to the upper surface of the wiring after the organic solution is supplied to the upper surface of the wiring, the silylation solution easily spreads over the upper surface of the wiring, and the wiring material atoms on the upper surface of the wiring are uniformly distributed after heating. It can be terminated with a Si-CHx-NHy group. Therefore, the first liner insulating film made of SiCN or the like can be grown without generating pinholes. Therefore, even if the first liner insulating film is formed thinner than the conventional method, diffusion to the upper layer of the wiring material can be suppressed, and high reliability of the semiconductor device can be realized while miniaturizing the wiring structure. it can.
  • step (c) and the step (d) are continuously performed so that the silylation solution can be spread over the upper surface of the wiring. Furthermore, it is preferable that the step (d) and the step (e) are continuously performed, so that the silylation of the wiring material located on the upper surface of the wiring can be performed uniformly and effectively.
  • a step (g) of forming a hole in the interlayer insulating film After the step (a), before the step (b), a step (g) of forming a hole in the interlayer insulating film, and after the step (g), before the step (b), a plane And (h) forming a trench in the interlayer insulating film that partially overlaps the hole in view.
  • the term “hole” used here refers to the formation of a contact hole for forming a contact for connecting a first layer wiring and a semiconductor element such as a transistor, and a via for connecting a second layer wiring or more and a lower layer wiring. And a via hole for the purpose.
  • the plug embedded in the hole and the wiring embedded in the trench and connected to the plug can be formed at the same time.
  • Such a so-called dual damascene process is preferable because the number of steps can be reduced as compared with the case where the plug and the wiring are formed in separate steps.
  • the interlayer insulating film includes a first interlayer insulating film in which the holes are formed, and a second interlayer insulating film formed on the first interlayer insulating film and in which the trench is formed, A step of forming a plug embedded in the hole after the step (g) and before the step (h), wherein the upper surface of the second interlayer insulating film and the upper surface of the interlayer insulating film are the same surface.
  • step (I) a step (j) of supplying an organic solution to the upper surface of the plug and the upper surface of the first interlayer insulating film after the step (i) and before the step (h), and the step (J)
  • step (h) before the step (h), a step (k) of supplying a silylation solution to the upper surface of the plug and the upper surface of the first interlayer insulating film, and after the step (k)
  • step (l) of heating the substrate and the step (h) It may further comprise a step (m) to form a second liner insulating film on the upper surface of the lug.
  • the organic solution may be the same substance as the solvent of the silylation solution.
  • the organic solution is preferably a solvent that does not react with the silylating agent contained in the silylation solution.
  • the organic solution preferably contains at least one selected from hydrocarbons, alcohols, ketones, esters and ethers.
  • the organic solution preferably contains at least one selected from methanol, ethanol, propanol, acetone, methyl ethyl ketone, diethyl ketone, and phenol.
  • the silylating agent contained in the silylation solution has an alkyl group having a silazane bond.
  • the first liner insulating film has a SiCN film because it can effectively suppress the diffusion of the wiring material.
  • the heating temperature when the substrate is heated, the heating temperature may be increased stepwise.
  • the processing temperature of the substrate is preferably 150 ° C. or higher and 450 ° C. or lower.
  • the main component of the wiring may be copper.
  • a diffusion prevention film for preventing diffusion of a wiring material such as Cu can be grown without generating a pinhole. Thereby, it is possible to efficiently suppress the diffusion of the wiring material into the upper layer while making the liner insulating film thinner than the conventional one.
  • FIG. 1A to 1E are cross-sectional views illustrating a method for manufacturing a semiconductor device according to the first embodiment.
  • 2A to 2D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to the first embodiment.
  • 3A to 3C are cross-sectional views showing a pretreatment process for forming a diffusion barrier film in the method for manufacturing a semiconductor device according to the first embodiment.
  • FIG. 4 is a cross-sectional view (upper view) showing the Cu wiring structure of the semiconductor device after the second diffusion prevention film is deposited by the method according to the comparative example, and the interface portion between the Cu wiring and the second diffusion prevention film. It is an enlarged view (below).
  • FIG. 5 is a cross-sectional view (upper view) showing the Cu wiring structure of the semiconductor device after the second diffusion prevention film is deposited by the method according to the first embodiment, and between the Cu wiring and the second diffusion prevention film. It is an enlarged view (lower figure) of an interface part.
  • FIG. 6 is a flowchart showing steps from formation of a recess to formation of a diffusion prevention film in the method for manufacturing a semiconductor device according to the first embodiment.
  • 7A and 7B are cross-sectional views for explaining the method for manufacturing a semiconductor device according to the second embodiment of the present invention.
  • FIGS. 1A to 1E and FIGS. 2A to 2D are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the first embodiment.
  • a manufacturing method in the case of using the dual damascene method in which the plug and the metal wiring are formed in the same process will be described.
  • the constituent materials, film thicknesses, film deposition methods, and the like of each layer described below are merely examples, and are not limited to these.
  • a substrate 11 on which a required semiconductor element (not shown) is formed is prepared.
  • the substrate 11 shown in FIG. 1A includes a semiconductor substrate made of silicon or the like, and a first interlayer insulating film formed on the semiconductor substrate and embedding a semiconductor element.
  • the first interlayer insulating film is made of, for example, NSG (Non-Silicate Glass).
  • the first recess 30 in the first interlayer insulating film by lithography and etching, etc. it has a barrier metal film and a Cu film by a known method
  • the base metal wiring 12 embedded in the first recess 30 is formed.
  • a metal film in which a metal such as Al is added to Cu may be provided instead of the Cu film of the base metal wiring.
  • an organic solution is applied to the upper surfaces of the substrate 11 and the base metal wiring 12, and then a silylation solution is applied. Subsequently, the substrate 11 is heated before the upper surface of the substrate 11 wetted by the organic solvent is dried.
  • the pretreatment as described above before the formation of the diffusion preventing film for preventing the diffusion of Cu will be described in detail later.
  • a first liner insulating film (first film) having a film thickness of about 60 nm made of, for example, SiC (or SiCO, SiCN) is formed by CVD (Chemical Vapor Deposition) method or the like.
  • a diffusion prevention film) 13 is formed.
  • the first liner insulating film 13 not only functions as an etching stopper when a via hole (hole) is formed later, but also prevents Cu from diffusing from the base metal wiring 12 into the second interlayer insulating film 14.
  • a second interlayer insulating film 14 is formed on the first liner insulating film 13 by spin coating or the like.
  • the second interlayer insulating film 14 a low dielectric constant film (Low-k film) is used, and its film thickness is, for example, 300 nm.
  • the low-k film preferably has a k value of about 2.7 or less. When the k value is such, the Low-k film generally becomes a porous film having pores.
  • the second interlayer insulating film 14 is formed by evaporating the solvent or the like. Note that the second interlayer insulating film 14 is not limited to the spin coating method, and may be formed by a method that can form a porous film, such as a CVD method. By using a Low-k film as the second interlayer insulating film 14, it is possible to suppress an increase in inter-wiring capacitance and inter-layer capacitance even when the wiring is miniaturized.
  • the second interlayer insulating film 14 is formed of a low-k film
  • a modification process cure process in which the second interlayer insulating film 14 is irradiated with a UV beam or an electron beam in order to enhance mechanical strength. It is desirable to do.
  • a resist is applied to the upper surface of the second interlayer insulating film 14 and then a lithography process is performed to form a via pattern.
  • the interlayer insulating film 14 is etched to form a via hole 32.
  • the resist is embedded in the via hole 32 and formed on the upper surface of the second interlayer insulating film 14, and lithography is performed to form a trench pattern.
  • the resist is removed by ashing or the like, and the substrate is washed to form a trench 34 for wiring formation.
  • a hard mask provided on a resist may be used in the etching for forming the via hole 32 and the trench 34.
  • the via hole 32 may be formed after the trench 34 is formed.
  • the via hole 32 and the trench 34 are collectively referred to as a “second recess 36”.
  • lithography and etching are performed to selectively remove a portion of the first liner insulating film 13 located at the bottom of the via hole 32 to expose the underlying metal wiring 12. Let Thereafter, the resist used for etching is removed by ashing or the like, and the substrate is washed.
  • a barrier metal film 38 is formed on the upper surface of the second interlayer insulating film 14 and the inner surface of the second recess 36 in the step shown in FIG.
  • a Ta / TaN film is deposited as the barrier metal film 38 to a thickness of about 26 nm by an atomic LASER deposition (ALD) method or the like.
  • a plug 40 and a Cu wiring 42 which are formed integrally with each other and fill the second recess 36, are formed.
  • a Cu seed film (not shown) is first formed on the entire barrier metal film 38 by sputtering or the like.
  • the thickness of the Cu seed film is, for example, 600 nm.
  • a Cu film 17 is formed on the Cu seed film by plating so that at least the via hole 32 and the trench 34 are buried, and then thermal annealing is performed at 400 ° C. for 30 minutes by furnace to form the Cu film 17 and the like. Perform reforming.
  • the Cu film 17 (and Cu seed film) and the barrier metal film 38 provided outside the trench 34 are removed by CMP or the like, and the upper surface of the Cu film 17 is planarized.
  • the barrier metal film 38, the Cu seed film, and the Cu film 17 a portion formed in the via hole 32 becomes a plug 40, and a portion formed in the trench 34 becomes a Cu wiring (metal wiring) 42.
  • other metals may be added to the Cu film 17, and the metal used as the main material of the metal wiring may be a metal having a resistance equal to or lower than that of Cu, such as Au, Ag, Pt. Good.
  • an organic solution is applied to the upper surfaces of the second interlayer insulating film 14 and the Cu wiring 42, and then a silylation solution is applied. Subsequently, the substrate 11 is heated before the upper surface of the substrate 11 wetted by the organic solvent is dried.
  • a second diffusion preventing film (second liner insulating film) 18 is formed on the second interlayer insulating film 14 and the Cu wiring 42 in the step shown in FIG. As described above, a metal wiring mainly composed of copper or the like can be formed.
  • FIGS. 1B and 2C are cross-sectional views showing a pretreatment process for forming a diffusion prevention film in the method for manufacturing a semiconductor device of this embodiment.
  • the substrate 2 (semiconductor device including the substrate 11) is set on the upper surface of the spindle 1 having a vacuum chuck function.
  • the organic solution 4 is supplied from the nozzle 3 to the upper surface of the substrate 2.
  • the spindle 1 is rotated at about 1000 rpm (min ⁇ 1 ), for example, and after the excess organic solution 4 is shaken off from the spindle 1, the rotation is stopped.
  • this step is preferably performed continuously with the step shown in FIG. 3B.
  • the substrate 2 is subjected to heat treatment 6 at 120 ° C., 230 ° C., and 400 ° C. for 1 minute each to silylate the metal wiring.
  • the heating temperature may be increased stepwise, or the heating temperature may be continuously increased. In the case where the heating temperature is raised stepwise, there is an advantage that moisture removal, solvent removal, final reaction, and the like can be performed at a more appropriate temperature.
  • the upper surface of the base metal wiring 12 is silylated in the step shown in FIG. 1B, and the upper surface of the Cu wiring 42 in the step shown in FIG. 2C.
  • the substrate temperature is preferably 150 ° C. or higher, and more preferably 180 ° C. or higher, but the upper limit of the substrate temperature is 450 ° C. or lower so that the thermal budget for manufacturing does not exceed the allowable range. Is preferred. This step is preferably performed continuously with the step shown in FIG.
  • FIG. 4 is a cross-sectional view (upper view) showing the Cu wiring structure of the semiconductor device after the second diffusion prevention film is deposited by the method according to the comparative example, and the interface portion between the Cu wiring and the second diffusion prevention film.
  • FIG. 5 is an enlarged view (lower view)
  • FIG. 5 is a cross-sectional view (upper view) showing the Cu wiring structure of the semiconductor device after the second diffusion prevention film is deposited by the method of the present embodiment. It is an enlarged view (lower figure) of the interface part with a diffusion prevention film.
  • the semiconductor device of the comparative example shown in FIG. 4 is manufactured through the same process as the semiconductor device of this embodiment except that the processing shown in FIGS. 3A to 3C is not performed. .
  • the initial stage of the formation of the second diffusion prevention film 72 is performed.
  • the growth of the second diffusion prevention film 72 is started in such a manner that SiN is embedded in the crystal grain boundary 50 on the upper surface of the Cu wiring 70. That is, SiN constituting the second diffusion barrier film 72 is selectively deposited on the upper surface of the Cu wiring 70 in the initial stage.
  • SiN does not grow uniformly on the upper surface of the Cu wiring 70, and as a result, the adhesion between the Cu wiring 70 and the second diffusion prevention film 72 is weakened, and a pinhole is formed in the second diffusion prevention film 72. 16 will be formed. If the adhesion between the Cu wiring 70 and the second diffusion barrier film 72 becomes weak or the pinhole 16 is formed in the second diffusion barrier film 72, the reliability of the wiring is lowered.
  • the thickness of the second diffusion prevention film 72 is set to a Cu wiring 70 in order to suppress deterioration of the diffusion prevention function for Cu.
  • About 1/2 of the grain size of Cu constituting the (Cu film 17) is required.
  • the width of the Cu wiring 70 is 60 nm
  • the maximum grain size of Cu is about 60 nm
  • the thickness of the second diffusion prevention film 72 is required to be at least 30 nm.
  • the film thickness of the second diffusion prevention film 72 is required to be about 60 nm or less in order to suppress interlayer capacitance and capacitance between plugs. Therefore, if manufacturing errors are included, the thickness range of the second diffusion prevention film 72 allowed in the method of the comparative example becomes very narrow. In the future, when the Cu wiring is further miniaturized, the degree of freedom in designing the second diffusion preventing film 72 is further reduced.
  • step S1 and S2 after the formation of the Cu wiring 42 (steps S1 and S2), for example, Si—CHx—NHy groups are formed in the molecule on the upper surface of the substrate 2.
  • the silylation solution containing is applied (step S4), and the substrate 2 is heated (step S5).
  • step S6 a second diffusion barrier film 18 of Cu is formed.
  • the CuSiN molecules are evenly arranged on the upper surface other than the crystal grain boundary of the Cu wiring 42, and a SiCN layer is formed on the SiN molecules with the SiN molecules interposed therebetween.
  • the organic solution 4 is supplied to the upper surface of the substrate 2 (step S3), and the silylation solution is supplied while the substrate 2 is wetted with the organic solution 4, so that the silylation solution on the substrate 2 is supplied.
  • This improves the wettability of the Cu atom and allows the Cu atom silylation reaction to proceed more efficiently. For this reason, even if the film thickness of the second diffusion preventing film 18 is reduced to about 10 nm, for example, the diffusion preventing function for Cu can be sufficiently exhibited. Therefore, the degree of freedom in designing the second diffusion preventing film 18 can be greatly improved, and even if the Cu wiring is miniaturized, it is possible to prevent Cu diffusion without increasing the interlayer capacitance.
  • the width of the Cu wiring 42 is 60 nm
  • the upper limit of the preferable film thickness of the second diffusion preventing film 18 is about 60 nm as described above, and therefore the preferable film thickness range of the second diffusion preventing film 18. Is from 10 nm to 60 nm.
  • the thickness of the second diffusion preventing film 18 is more preferably 10 nm or more and 30 nm or less because it can cope with further miniaturization of the metal wiring.
  • silylation solution used in the manufacturing method of the present embodiment 2 (for example, HMDS or TMSDMA) in which Si constituting the silazane bond (Si—N) is bonded to three alkyl groups (for example, methyl group) is used.
  • -A solution mixed with a heptanone solvent is desirable, but other silylation solutions may be used as silylation proceeds.
  • the organic solution used in the method of the present embodiment is the same as the solvent of the silylation solution, or is compatible with the solvent of the silylation solution and is compatible with the silylating agent in the silylation solution.
  • the organic solution may be at least one selected from hydrocarbons, alcohols, ketones, esters, and ethers.
  • the Cu wiring is also performed when the processing from steps S3 to S6 is performed after the formation of the base metal wiring 12 containing Cu.
  • the diffusion of Cu into the second interlayer insulating film 14 is reduced while the film thickness of the first liner insulating film 13 (first diffusion prevention film) is reduced. Can be suppressed.
  • the first liner insulating film 13 and the second diffusion preventing film 18 described above can be made of a material having a function of suppressing the diffusion of a wiring material such as Cu.
  • the first liner insulating film 13 and the second diffusion prevention film 18 may be a stacked film in which a SiCN film and a SiCO film are stacked in this order in addition to a SiN film and a SiCN film.
  • the method of applying the organic solution and the silylation solution to the upper surface of the substrate using a spindle has been described.
  • other methods such as immersing the upper surface of the substrate in the organic solution or the silylation solution may be used for the upper surface of the substrate.
  • Organic solutions and silylation solutions may be supplied.
  • the said process is the first layer Cu wiring. Even if it is performed after the contact for connecting the semiconductor element and this is formed, the same effect as described above can be obtained.
  • (Second Embodiment) 7A and 7B are cross-sectional views for explaining the method for manufacturing a semiconductor device according to the second embodiment of the present invention.
  • the manufacturing method of this embodiment is obtained by applying the processing method shown in FIGS. 3A to 3C and FIG. 6 described in the first embodiment to a so-called single damascene process.
  • the first liner insulating film 13 is formed on the first interlayer insulating film and the plug 19. Form on top.
  • a second interlayer insulating film 14 made of a low-k material is formed on the first liner insulating film 13, and then the second interlayer insulating film 14 and the first liner insulating film 13 are etched to form plugs.
  • a trench 20 reaching the upper surface of 19 and a part of the upper surface of the first interlayer insulating film is formed.
  • a seed film made of Cu is formed, and Cu is formed so as to bury at least the trench 20 on the seed film by plating. A film is formed. Subsequently, the Cu film and the barrier metal film are polished by CMP until the upper surface of the second interlayer insulating film 14 is exposed, thereby forming the metal wiring 58 connected to the plug 19.
  • an organic solution is applied to the upper surface of the substrate (semiconductor device being manufactured) in the same manner as in the method of the first embodiment, and then a silylation solution is applied.
  • the substrate is heated while being wet with the organic solution, and the Cu atoms on the upper surface of the metal wiring 58 are terminated with Si—CHx—NHy groups.
  • a second diffusion preventing film 18 is formed on the second interlayer insulating film 14 and the metal wiring 58.
  • the second diffusion preventing film 18 including no pinhole can be formed.
  • Cu can be prevented from diffusing even if the second diffusion barrier film 18 is thinned, so that it is possible to achieve both the reduction of the interlayer capacitance and the capacitance between the wirings and the prevention of the diffusion of the wiring material.
  • the above-described treatment with the organic solution and silylation solution and the heat treatment of the substrate 11 may be performed.
  • steps S3 to S6 in FIG. 6 can be performed.
  • the substrate 11 first interlayer insulating film
  • the base metal wiring 21 embedded in the first interlayer insulating film (not shown) formed on the substrate 11
  • the substrate 11 first interlayer insulating film
  • the base metal wiring 21 are formed.
  • a first liner insulating film 13 and a second interlayer insulating film 14 made of a low-k material are sequentially formed.
  • the second interlayer insulating film 14 and the first liner insulating film 13 are etched to form a via hole 22 reaching the upper surface of the base metal wiring 21.
  • a plug 60 composed of a barrier metal film and a Cu film is formed in the via hole 22.
  • an organic solution is applied to the upper surface of the substrate (semiconductor device being manufactured), and then a silylation solution is applied.
  • the substrate is heated while wetted with the organic solution to terminate the Cu atoms on the upper surface of the plug 60 with Si—CHx—NHy groups.
  • a second diffusion prevention film 18A is formed on the second interlayer insulating film 14 and the plug 60. Even in such a case, the generation of pinholes in the second diffusion barrier film 18A can be suppressed.
  • the silylation treatment is performed both before the formation of the diffusion prevention film on the metal wiring and before the formation of the diffusion prevention film on the plug, the deterioration of the reliability of the semiconductor device is more effectively suppressed. Can do. That is, according to the above method, it is possible to suppress deterioration in reliability of a semiconductor device having a single damascene structure in which a concave portion such as a trench or a via hole is formed on the substrate.
  • the manufacturing method as an example of the present invention can be preferably used for manufacturing a semiconductor device provided with embedded metal wiring.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

 半導体装置の製造方法は、基板上に層間絶縁膜を形成する工程(a)と、層間絶縁膜に配線を形成する工程(b)と、配線の上面及び層間絶縁膜の上面に有機溶液を塗布する工程(c)と、工程(c)の後に、配線の上面及び層間絶縁膜の上面にシリル化溶液を塗布する工程(d)と、工程(d)の後に、基板を加熱する工程(e)と、少なくとも配線の上面上に第1のライナー絶縁膜を形成する工程(f)とを備えている。

Description

半導体装置の製造方法
 本明細書に開示された技術は、銅(Cu)などからなる配線と、配線上に設けられた金属拡散防止膜とを備えた半導体装置の製造方法に関する。
 LSI(大規模半導体集積回路)の微細化に伴い、半導体素子の更なる高速化、低消費電力化、及び高い信頼性の確保が求められている。そこで、配線抵抗を低減するためにアルミニウム(Al)に比べて抵抗の低いCuを配線材料として用いたり、配線間容量及び層間容量を低減するために、一般的にLow-k膜と呼ばれる低誘電率絶縁膜を配線間絶縁膜(以下、層間絶縁膜という)として用いたり、高い信頼性を確保するためにCuの拡散を防止する拡散防止膜を設けたりすることが検討されている。
 Cu配線の形成方法としては、ダマシン(Damascene)法と呼ばれる方法が一般的に用いられる。この方法では、まず基板上に形成したLow-k材料からなる層間絶縁膜に凹部を形成した後、当該凹部の内面上及び層間絶縁膜の上面上にバリア金属膜を形成する。次いで、凹部を銅メッキで埋め込んだ後、CMP(化学機械研磨)法によって余分な銅と、バリア金属膜のうち層間絶縁膜の上面上に設けられた部分とを除去し、凹部に埋め込まれたCu配線を形成する。次に、層間絶縁膜上及び金属配線上に拡散防止膜を形成する。
 特許文献1にはSiN膜を上述の拡散防止膜として用い、Low-k材料からなる層間絶縁膜へのCuの拡散の抑制を図る技術が提案されている。
特開平11-307474号公報
 しかしながら、上記の製造方法においてSiNからなる拡散防止膜を形成する工程では、Cu配線の上面で粒界を核としてSiNの成長が始まり、Cuの結晶粒径の1/2程度以上の厚さを有する膜を形成しなければ、拡散防止膜にピンホールが形成される。その結果、Cuの拡散防止性が低下してしまい、素子の信頼性を劣化させる場合がある。微細配線を形成する場合、層間容量の低減が必須であり、拡散防止膜の薄膜化が望まれる。しかし、拡散防止膜を薄膜化すると上述のように拡散防止膜にピンホールができてしまうため、半導体素子の高い信頼性を確保しつつ配線の微細化を図ることは困難である。
 本発明は、上述の点に鑑み、配線材料の拡散を抑えながら、金属配線の微細化を図ることができる半導体装置の製造方法を提供することを目的とする。
 本発明の一例に係る半導体装置の製造方法は、基板上に層間絶縁膜を形成する工程(a)と、前記層間絶縁膜に配線を形成する工程(b)と、前記配線の上面及び前記層間絶縁膜の上面に有機溶液を供給する工程(c)と、前記工程(c)の後に、前記配線の上面及び前記層間絶縁膜の上面にシリル化溶液を供給する工程(d)と、前記工程(d)の後に、前記基板を加熱する工程(e)と、少なくとも前記配線の上面上に第1のライナー絶縁膜を形成する工程(f)とを備えている。
 この方法によれば、有機溶液を配線上面に供給した後にシリル化溶液を配線上面に供給するので、配線上面にシリル化溶液が行き渡りやすくなっており、加熱後に配線上面の配線材料原子を均一にSi-CHx―NHy基で終端化させることができる。そのため、SiCNなどからなる第1のライナー絶縁膜をピンホールを生じることなく成長させることができる。従って、第1のライナー絶縁膜を従来の方法より薄く形成しても配線材料の上層への拡散等を抑えることができ、配線構造を微細化させつつ半導体装置の高い信頼性を実現することができる。
 前記工程(c)と前記工程(d)とが連続して行われることでシリル化溶液を配線上面にくまなく行き渡らせることができるので、好ましい。さらに、前記工程(d)と前記工程(e)とが連続して行われることにより、配線上面に位置する配線材料のシリル化を均一且つ効果的に行うことができるので、好ましい。
 前記工程(a)の後、前記工程(b)の前に、前記層間絶縁膜にホールを形成する工程(g)と、前記工程(g)の後、前記工程(b)の前に、平面視において前記ホールと一部が重なるトレンチを前記層間絶縁膜に形成する工程(h)とを有していてもよい。なお、ここでいう「ホール」とは、一層目配線とトランジスタ等の半導体素子とを接続するコンタクトを形成するためのコンタクトホールと、二層目以上の配線と下層配線とを接続するビアを形成するためのビアホールとを含むものとする。
 前記工程(b)では、前記ホールに埋め込まれたプラグと、前記トレンチに埋め込まれ、前記プラグに接続された前記配線とを同時に形成することもできる。このような、いわゆるデュアルダマシンプロセスによれば、プラグと配線とを別個の工程で形成する場合に比べて工程数を少なくすることができるので、好ましい。
 前記層間絶縁膜は、前記ホールが形成された第1の層間絶縁膜と、前記第1の層間絶縁膜上に形成され、前記トレンチが形成された第2の層間絶縁膜とを有し、前記第2の層間絶縁膜の上面と前記層間絶縁膜の上面とは同一面であり、前記工程(g)の後、前記工程(h)の前に、前記ホールに埋め込まれたプラグを形成する工程(i)と、前記工程(i)の後、前記工程(h)の前に、前記プラグの上面及び前記第1の層間絶縁膜の上面に有機溶液を供給する工程(j)と、前記工程(j)の後、前記工程(h)の前に、前記プラグの上面及び前記第1の層間絶縁膜の上面にシリル化溶液を供給する工程(k)と、前記工程(k)の後、前記工程(h)の前に、前記基板を加熱する工程(l)と、前記工程(h)の前に、少なくとも前記プラグの上面上に第2のライナー絶縁膜を形成する工程(m)とをさらに備えていてもよい。
 前記有機溶液が前記シリル化溶液の溶媒と同一物質であってもよい。
 前記有機溶液は、前記シリル化溶液に含まれるシリル化剤と反応しない溶媒であることが好ましい。
 前記有機溶液は炭化水素類、アルコール類、ケトン類、エステル類、エーテル類のうちから選ばれた少なくとも一つを含むことが好ましい。
 前記有機溶液がメタノール、エタノール、プロパノール、アセトン、メチルエチルケトン、ジエチルケトン、フェノールから選ばれた少なくとも一つを含むことが好ましい。
 前記シリル化溶液に含まれる前記シリル化剤がシラザン結合を有するアルキル基を有すしていることが好ましい。
 前記第1のライナー絶縁膜がSiCN膜を有していれば、効果的に配線材料の拡散を抑えることができるので、好ましい。
 前記工程(e)において、前記基板を加熱する際には、段階的に加熱温度を上昇させてもよい。
 前記工程(e)において、前記基板の処理温度は150℃以上450℃以下であることが好ましい。
 前記配線の主成分は銅であってもよい。
 本発明の一例に係る半導体装置の製造方法によれば、Cu等の配線材料の拡散を防止する拡散防止膜(ライナー絶縁膜)を、ピンホールを発生させることなく成長させることができる。これにより、ライナー絶縁膜を従来よりも薄くしつつ、配線材料の上層への拡散を効率良く抑制することができる。
図1(a)~(e)は、第1の実施形態に係る半導体装置の製造方法を示す断面図である。 図2(a)~(d)は、第1の実施形態に係る半導体装置の製造方法を示す断面図である。 図3(a)~(c)は、第1の実施形態に係る半導体装置の製造方法において、拡散防止膜を形成するための前処理工程を示す断面図である。 図4は、比較例に係る方法で第2の拡散防止膜を堆積した後の半導体装置のCu配線構造を示す断面図(上図)及びCu配線と第2の拡散防止膜との界面部の拡大図(下図)である。 図5は、第1の実施形態に係る方法で第2の拡散防止膜を堆積した後の半導体装置のCu配線構造を示す断面図(上図)及びCu配線と第2の拡散防止膜との界面部の拡大図(下図)である。 図6は、第1の実施形態に係る半導体装置の製造方法において、凹部の形成から拡散防止膜の形成までの工程を示すフローチャートである。 図7(a)、(b)は、本発明の第2の実施形態に係る半導体装置の製造方法を説明するための断面図である。
 以下、図面を参照して本発明の実施形態を説明する。
  (第1の実施形態)
  -半導体装置の製造方法-
 図1(a)~(e)、図2(a)~(d)は、第1の実施形態に係る半導体装置の製造方法を示す断面図である。ここでは、プラグと金属配線とを同じ工程で形成するデュアルダマシン法を用いる場合の製造方法を説明する。なお、以下で説明する各層の構成材料、膜厚、膜の堆積方法等は一例であって、これらに限定されるものではない。
 まず、図1(a)に示す工程で、所要の半導体素子(図示せず)を形成した基板11を準備する。なお、図1(a)で示す基板11には、シリコン等からなる半導体基板と、半導体基板上に形成され、半導体素子を埋め込む第1の層間絶縁膜とが含まれている。この第1の層間絶縁膜は、例えばNSG(Non-Silicate Glass)などで構成される。
 次に、図1(b)に示す工程で、第1の層間絶縁膜にリソグラフィー及びエッチングなどにより第1の凹部30を形成した後、公知の方法により、バリア金属膜及びCu膜を有し、第1の凹部30に埋め込まれた下地金属配線12を形成する。なお、下地金属配線のCu膜に代えてCuにAlなどの金属を添加した金属膜を設けてもよい。
 次に、本実施形態の製造方法では、基板11及び下地金属配線12の上面に有機溶液を塗布し、その後シリル化溶液を塗布する。続いて、有機溶剤によって濡れた基板11の上面が乾かないうちに、基板11を加熱する。Cuの拡散を防止する拡散防止膜を形成する前の以上のような前処理については後に詳述する。
 次に、図1(c)に示す工程で、CVD(Chemical Vapor Deposition)法などにより、例えばSiC(またはSiCO、SiCN)から構成された膜厚が60nm程度の第1のライナー絶縁膜(第1の拡散防止膜)13を形成する。第1のライナー絶縁膜13は、後にビアホール(ホール)の形成を行う際のエッチングストパーとして機能するだけでなく、下地金属配線12から第2の層間絶縁膜14にCuが拡散するのを防ぐ。次に、スピンコート法などによって、第1のライナー絶縁膜13上に第2の層間絶縁膜14を形成する。第2の層間絶縁膜14としては低誘電率膜(Low-k膜)が用いられ、その膜厚は例えば300nmとする。
 Low-k膜は、k値がおよそ2.7以下のものが好ましい。k値がこのようなものだと、Low-k膜は一般に空孔を持った多孔質膜になる。本工程では、液状のLow-k膜材料を基板(作製中の半導体装置)上に塗布した後、溶剤を蒸発させること等によって第2の層間絶縁膜14を形成する。なお、第2の層間絶縁膜14は、スピンコート法に限らず、CVD法など多孔質膜を形成できる方法によって形成されてもよい。第2の層間絶縁膜14としてLow-k膜を用いることで、配線を微細化する場合でも配線間容量や層間容量の増加を抑えることができる。また、第2の層間絶縁膜14をLow-k膜で構成する場合、機械的強度を強化するためにUVビームや電子ビームを第2の層間絶縁膜14に照射する改質処理(キュア処理)を行うことが望ましい。
 次いで、図1(e)に示す工程では、例えば、第2の層間絶縁膜14の上面にレジストを塗布してからリソグラフィー処理をすることでビアパターンを形成し、このビアパターンを用いて第2の層間絶縁膜14をエッチングしてビアホール32を形成する。続いて、ビアパターン形成用のレジストを除去した後、レジストをビアホール32内に埋め込むとともに第2の層間絶縁膜14の上面上に形成しリソグラフィーを実行してトレンチパターンを形成する。このトレンチパターンを用いてエッチングを行った後、アッシング等によってレジストを除去し、基板を洗浄することで配線形成用のトレンチ34を形成する。なお、ビアホール32やトレンチ34を形成するためのエッチングの際には、レジスト上に設けたハードマスクを用いてもよい。また、本工程では、トレンチ34を形成してからビアホール32を形成してもよい。以下の説明では、ビアホール32とトレンチ34を合わせて「第2の凹部36」と呼ぶものとする。
 次に、図2(a)に示す工程で、リソグラフィ及びエッチングを実施して第1のライナー絶縁膜13のうちビアホール32の底部に位置する部分を選択的に除去し、下地金属配線12を露出させる。その後、エッチングに用いたレジストをアッシング等により除去し、基板を洗浄する。
 次に、図2(b)に示す工程で、第2の層間絶縁膜14の上面上及び第2の凹部36の内面上にバリア金属膜38を形成する。本工程では、例えばバリア金属膜38としてTa/TaN膜を、Atomic LASER Deposition(ALD)法等により26nm程度の厚さで堆積させる。
 次に、図2(c)に示す工程では、互いに一体的に形成され、第2の凹部36を埋めるプラグ40及びCu配線42とを形成する。具体的には、まずスパッタ法等によりバリア金属膜38の全体上にCuシード膜(図示せず)を形成する。Cuシード膜の厚さは例えば600nmである。続いて、めっき法によりCuシード膜上に、少なくともビアホール32及びトレンチ34を埋め込んだ状態でCu膜17を形成した後、ファーネスにより400℃、30分間の熱アニールを実施してCu膜17等の改質を行う。次に、Cu膜17(及びCuシード膜)、及びバリア金属膜38のうちトレンチ34の外部に設けられた不要な部分をCMP法等によって除去するとともに、Cu膜17の上面を平坦化する。バリア金属膜38、Cuシード膜、及びCu膜17のうちビアホール32内に形成された部分がプラグ40となり、トレンチ34内に形成された部分がCu配線(金属配線)42となる。なお、Cu膜17に他の金属が添加されていてもよい他、金属配線の主材料として用いられる金属は、Au、Ag、Ptなど、抵抗がCuと同じかそれより低い金属を用いてもよい。
 次に、第1のライナー絶縁膜13の形成前と同様に、第2の層間絶縁膜14及びCu配線42の上面に有機溶液を塗布し、その後シリル化溶液を塗布する。続いて、有機溶剤によって濡れた基板11の上面が乾かないうちに、基板11を加熱する。
 その後、図2(d)に示す工程で、第2の層間絶縁膜14及びCu配線42の上に第2の拡散防止膜(第2のライナー絶縁膜)18を形成する。以上のようにして、銅などを主成分とする金属配線を形成することができる。
 本実施形態の半導体装置の製造方法では、金属の拡散防止膜を形成するための前処理として、図1(b)や図2(c)に示す工程で、有機溶液の塗布、シリル化溶液の塗布、及び基板の加熱を連続的に行っている。図3(a)~(c)は、本実施形態の半導体装置の製造方法において、拡散防止膜を形成するための前処理工程を示す断面図である。
 図3(a)に示すように、層間絶縁膜に埋め込まれた金属配線を形成した後、真空チャック機能を有するスピンドル1の上面上に基板2(基板11を含む作製中の半導体装置)をセットし、この状態でノズル3から有機溶液4を基板2の上面に供給する。有機溶液4の供給後、スピンドル1は例えば1000rpm(min-1)程度で回転させておき、余分な有機溶液4をスピンドル1上から振り払った後に回転を停止させる。
 次に、図3(b)に示すように、供給された有機溶液4が乾かないうちに、有機溶液の塗布と同様、基板2の上面にシリル化溶液5を供給し、スピンドル1を回転させる。従って、本工程は図3(a)に示す工程と連続的に行うことが好ましい。
 その後、図3(c)に示すように、基板2を例えば120℃、230℃、400℃で各々1分づつ加熱処理6をし、金属配線のシリル化を行う。このように段階的に加熱温度を上昇させてもよいし、加熱温度を連続的に上昇させてもよい。段階的に加熱温度を上昇させる場合には、水分の除去、溶媒の除去、最終反応などをより適切な温度で行うことができるという利点がある。
 この手順によって、図1(b)に示す工程では下地金属配線12の上面が、図2(c)に示す工程ではCu配線42の上面が、それぞれシリル化される。なお、基板温度は150℃以上とすることが好ましく、180℃以上とすることがより好ましいが、製造上のサーマルバジェットが許容範囲を超えないように基板温度の上限としては450℃以下とすることが好ましい。本工程は図3(b)に示す工程と連続的に行うことが好ましい。
  -本実施形態の半導体装置の製造方法の効果-
 次に、本実施形態の製造方法における上記処理の効果について、従来の製造方法と比較しながら説明する。図4は、比較例に係る方法で第2の拡散防止膜を堆積した後の半導体装置のCu配線構造を示す断面図(上図)及びCu配線と第2の拡散防止膜との界面部の拡大図(下図)であり、図5は、本実施形態の方法で第2の拡散防止膜を堆積した後の半導体装置のCu配線構造を示す断面図(上図)及びCu配線と第2の拡散防止膜との界面部の拡大図(下図)である。なお、図4に示す比較例の半導体装置は、図3(a)~(c)に示す処理を行わないことを除けば本実施形態の半導体装置と同様の工程を経て作製されるものとする。
 図4に示すように、Cu配線70の形成後に特段の処理を行わずに例えばSiCNからなる第2の拡散防止膜72をCVD法等で形成した場合、第2の拡散防止膜72形成の初期段階では、SiNがCu配線70上面の結晶粒界50に埋め込まれる形で第2の拡散防止膜72の成長が開始される。すなわち、第2の拡散防止膜72を構成するSiNは初期段階では部位選択的にCu配線70上面に堆積する。そのため、SiNはCu配線70の上面上に均一に成長せず、結果としてCu配線70と第2の拡散防止膜72との密着性が弱くなるとともに、第2の拡散防止膜72中にピンホール16が形成されてしまう。Cu配線70と第2の拡散防止膜72との密着性が弱くなったり、第2の拡散防止膜72中にピンホール16が形成されると配線の信頼性が低下してしまう。
 第2の拡散防止膜72を厚くすればピンホール16の影響を低減させることができるものの、Cuに対する拡散防止機能の劣化を抑えるために、第2の拡散防止膜72の膜厚はCu配線70(Cu膜17)を構成するCuの粒径の1/2程度必要となる。例えばCu配線70の幅が60nmの場合にはCuの粒径は最大で60nm程度となるので、第2の拡散防止膜72の膜厚は少なくとも30nm以上であることが要求される。一方で層間容量やプラグ間の容量を抑制するために第2の拡散防止膜72の膜厚は60nm以下程度であることが求められる。そのため、製造上の誤差を含めると比較例の方法において許容される第2の拡散防止膜72の膜厚範囲は非常に狭くなる。今後、Cu配線がさらに微細化される場合にはさらに第2の拡散防止膜72の設計自由度は小さくなる。
 これに対し、本実施形態の製造方法では、図6に示すように、Cu配線42の形成(ステップS1、S2)の後、基板2の上面に、例えばSi-CHx―NHy基を分子中に含むシリル化溶液を塗布し(ステップS4)、基板2を加熱する(ステップS5)。この後、ステップS6でCuの第2の拡散防止膜18を形成する。これにより、図5の下図に示すようにCu膜17の上面全体に均一にSi-N結合を付加することができるため、例えばSiCN等からなる第2の拡散防止膜18をピンホールを発生させることなく成長させることができる。この場合、CuSiN分子はCu配線42の結晶粒界上以外の上面にも均一に配置され、その上にSiN分子を挟んでSiCN層が形成される。
 さらに、本実施形態の方法では、基板2上面に有機溶液4を供給し(ステップS3)、有機溶液4で基板2を濡らした状態でシリル化溶液を供給するので、シリル化溶液の基板2上での濡れ性が向上し、Cu原子のシリル化反応をより効率的に進めることができる。このため、第2の拡散防止膜18の膜厚を例えば約10nm程度まで薄くしてもCuに対する拡散防止機能を十分に発揮させることができる。このため、第2の拡散防止膜18の設計の自由度を大幅に向上させることができ、Cu配線が微細化しても層間容量等を増やすことなくCuの拡散防止を図ることが可能となるので、信頼性の高い半導体装置を製造することが可能となる。なお、Cu配線42の幅が60nmの場合、第2の拡散防止膜18の好ましい膜厚の上限は先に説明したように60nm程度であるので、第2の拡散防止膜18の好ましい膜厚範囲は10nm以上60nm以下となっている。第2の拡散防止膜18の膜厚は、10nm以上30nm以下である場合、さらなる金属配線の微細化に対応できるので、より好ましい。
 本実施形態の製造方法で用いられるシリル化溶液としては、シラザン結合(Si-N)を構成するSiが三つのアルキル基(例えばメチル基)と結合しているもの(例えばHMDSあるいはTMSDMA)を2-ヘプタノン溶媒に混合した溶液が望ましいが、シリル化が進めば他のシリル化溶液を用いてもかまわない。
 また本実施形態の方法で用いられる有機溶液は、シリル化溶液の溶媒と同一のもの、もしくは、シリル化溶液の溶媒と相溶性を有し、且つ、シリル化溶液中のシリル化剤に対して反応性がないものであれば特に限定はされない。有機溶液は、具体的には、炭化水素類、アルコール類、ケトン類、エステル類、エーテル類のうちから選ばれた少なくとも一つであってもよい。より具体的には、トルエン、キシレン、p-キシレン、m-キシレン、メシチレン、ソルベントナフサH、ソルベントナフサA、ペンタン、ヘキサン、イソヘキサン、ヘプタン、ノナン、オクタン、ドデカン、2-メチルブタン、ヘキサデカン、トリデカン、ペンタデカン、シクロペンタン、2,2,4-トリメチルペンタン、ベンゼン、1,2-ジメチルベンゼン、1,2,4-トリメチルベンゼン、ミネラルスピリット、灯油、イソブチルベンゼン、メチルナフタレン、エチルトルエン、リグロイン、アセトン、3-ペンタノン、ジエチルケトン、メチルエチルケトン、メタノール、エタノール、2-プロパノール、3-プロパノール、アセトン、エチレンオキシド、ベンゼン、トルエン、シクロヘキサノン、ブチロラクトン、メチルエチルケトン、ジエチルケトン、アニソール、オクタン、ジメチルエーテル、ジエチルエーテル、フェノールのうちから選ばれた少なくとも一つを有機溶媒として用いることができる。特に、メタノール、エタノール、プロパノール、アセトン、メチルエチルケトン、ジエチルケトン、フェノールは分子量が比較的小さいためシリル化剤との相性が良いので、これらのうち少なくとも一つを有機溶液として用いることが望ましい。ただし、ここで挙げた溶液以外の液体を有機溶液として用いてもよい。
 なお、ここではCu配線42の形成後に図6のステップS3~S6を行う場合の効果について説明したが、Cuを含む下地金属配線12の形成後にステップS3~S6までの処理を行う場合もCu配線42の形成後にこれらの処理を行う場合と同様に、第1のライナー絶縁膜13(第1の拡散防止膜)の膜厚を薄くしつつ、Cuの第2の層間絶縁膜14への拡散を抑制することができる。
 なお、上述の第1のライナー絶縁膜13及び第2の拡散防止膜18は、Cu等の配線材料の拡散を抑制する機能を有する材料で構成することができる。例えば、第1のライナー絶縁膜13及び第2の拡散防止膜18はSiN膜やSiCN膜の他、SiCN膜とSiCO膜をこの順番に積層した積層膜であってもよい。
 また、本実施形態では有機溶液及びシリル化溶液をスピンドルを用いて基板上面に塗布する方法を説明したが、有機溶液やシリル化溶液に基板上面を浸漬させる等、これ以外の方法で基板上面に有機溶液及びシリル化溶液を供給してもよい。
 なお、有機溶液、シリル化溶液を用いて、基板の加熱を行う処理を、二層目以上の金属配線及びその直下のプラグの形成後に行う例を説明したが、当該処理を一層目のCu配線及びこれと半導体素子とを接続するコンタクトを形成した後に行っても上記と同様の効果がある。
  (第2の実施の形態)
 図7(a)、(b)は、本発明の第2の実施形態に係る半導体装置の製造方法を説明するための断面図である。本実施形態の製造方法は、第1の実施形態で説明した図3(a)~(c)、図6に示す処理方法をいわゆるシングルダマシンプロセスに適用したものである。
 図7(a)に示すように、基板11上部の第1の層間絶縁膜内に埋め込まれたプラグ19を形成後、第1のライナー絶縁膜13を第1の層間絶縁膜上及びプラグ19の上に形成する。次いで、第1のライナー絶縁膜13の上にLow-k材料からなる第2の層間絶縁膜14を形成した後、第2の層間絶縁膜14及び第1のライナー絶縁膜13をエッチングしてプラグ19の上面と第1の層間絶縁膜の上面の一部とに達するトレンチ20を形成する。次いで、バリア金属膜をトレンチ20の内面及び第2の層間絶縁膜14の上面上に形成後、Cuからなるシード膜を形成し、めっき法によりシード膜上に少なくともトレンチ20を埋め込む厚さでCu膜を形成する。続いて、CMP法により第2の層間絶縁膜14の上面が露出するまでCu膜及びバリア金属膜を研磨することにより、プラグ19に接続された金属配線58を形成する。
 次に、基板(製造中の半導体装置)の上面に第1の実施形態の方法と同様に有機溶液を塗布し、続いてシリル化溶液を塗布する。次いで、有機溶液で濡れた状態で基板を加熱して金属配線58上面のCu原子をSi-CHx―NHy基で終端化させる。次に、第2の層間絶縁膜14及び金属配線58上に第2の拡散防止膜18を形成する。
 このように、シングルダマシンプロセスで形成された金属配線58上においても、ピンホールを含まない第2の拡散防止膜18を形成することができる。このため、第2の拡散防止膜18を薄くしてもCuの拡散を防止することができるので、層間容量や配線間容量の低減と配線材料の拡散防止とを両立させることができる。さらに、プラグ19の形成後、第1のライナー絶縁膜13を形成する前に上述の有機溶液及びシリル化溶液による処理と基板11の熱処理とを行ってもよい。
 また、図7(b)に示すように、シングルダマシンプロセスでプラグ60を形成した後に図6のステップS3~S6に示す処理を行うこともできる。
 すなわち、基板11の上部に形成された第1の層間絶縁膜(図示せず)に埋め込まれた下地金属配線21を形成した後、基板11(第1の層間絶縁膜)及び下地金属配線21上に第1のライナー絶縁膜13及びLow-k材料からなる第2の層間絶縁膜14を順次形成する。次いで、第2の層間絶縁膜14及び第1のライナー絶縁膜13をエッチングして下地金属配線21の上面に達するビアホール22を形成する。次いで、ビアホール22内にバリア金属膜及びCu膜で構成されたプラグ60を形成する。次いで、基板(製造中の半導体装置)の上面に有機溶液を塗布し、続いてシリル化溶液を塗布する。次いで、有機溶液で濡れた状態で基板を加熱してプラグ60上面のCu原子をSi-CHx―NHy基で終端化させる。次に、第2の層間絶縁膜14及びプラグ60上に第2の拡散防止膜18Aを形成する。このような場合でも、第2の拡散防止膜18Aにおけるピンホールの発生を抑えることができる。また、金属配線上に拡散防止膜を形成する前とプラグ上に拡散防止膜を形成する前の両方でシリル化処理を行う場合には、半導体装置の信頼性の劣化をさらに効果的に抑えることができる。すなわち、以上の方法によれば、基板上部にトレンチやビアホールなどの凹部が形成されたシングルダマシン構造を有する半導体装置の信頼性の劣化を抑えることができる。
 以上に説明したように、本発明の例である製造方法は、埋め込み金属配線を備えた半導体装置の製造に好ましく利用できる。
1   スピンドル
2   基板
3   ノズル
4   有機溶液
5   シリル化溶液
6   加熱処理
11   基板
12   下地金属配線
13   第1のライナー絶縁膜
14   第2の層間絶縁膜
16   ピンホール
17   Cu膜
18、18A   第2の拡散防止膜
19、40、60   プラグ
20   トレンチ
21   下地金属配線
22   ビアホール
30   第1の凹部
32   ビアホール
34   トレンチ
36   第2の凹部
38   バリア金属膜 
42、70   Cu配線
50   結晶粒界
58   金属配線
70   Cu配線
72   第2の拡散防止膜

Claims (15)

  1.  基板上に層間絶縁膜を形成する工程(a)と、
     前記層間絶縁膜に配線を形成する工程(b)と、
     前記配線の上面及び前記層間絶縁膜の上面に有機溶液を供給する工程(c)と、
     前記工程(c)の後に、前記配線の上面及び前記層間絶縁膜の上面にシリル化溶液を供給する工程(d)と、
     前記工程(d)の後に、前記基板を加熱する工程(e)と、
     少なくとも前記配線の上面上に第1のライナー絶縁膜を形成する工程(f)とを備えている半導体装置の製造方法。
  2.  前記工程(c)と前記工程(d)とが連続して行われることを特徴とする請求項1に記載の半導体装置の製造方法。
  3.  前記工程(d)と前記工程(e)とが連続して行われることを特徴とする請求項2に記載の半導体装置の製造方法。
  4.  前記工程(a)の後、前記工程(b)の前に、前記層間絶縁膜にホールを形成する工程(g)と、
     前記工程(g)の後、前記工程(b)の前に、平面視において前記ホールと一部が重なるトレンチを前記層間絶縁膜に形成する工程(h)とを有していることを特徴とする請求項1に記載の半導体装置の製造方法。
  5.  前記工程(b)では、前記ホールに埋め込まれたプラグと、前記トレンチに埋め込まれ、前記プラグに接続された前記配線とを同時に形成することを特徴とする請求項4に記載の半導体装置の製造方法。
  6.  前記層間絶縁膜は、前記ホールが形成された第1の層間絶縁膜と、前記第1の層間絶縁膜上に形成され、前記トレンチが形成された第2の層間絶縁膜とを有し、
     前記第2の層間絶縁膜の上面と前記層間絶縁膜の上面とは同一面であり、
     前記工程(g)の後、前記工程(h)の前に、前記ホールに埋め込まれたプラグを形成する工程(i)と、
     前記工程(i)の後、前記工程(h)の前に、前記プラグの上面及び前記第1の層間絶縁膜の上面に有機溶液を供給する工程(j)と、
     前記工程(j)の後、前記工程(h)の前に、前記プラグの上面及び前記第1の層間絶縁膜の上面にシリル化溶液を供給する工程(k)と、
     前記工程(k)の後、前記工程(h)の前に、前記基板を加熱する工程(l)と、
     前記工程(h)の前に、少なくとも前記プラグの上面上に第2のライナー絶縁膜を形成する工程(m)とをさらに備えていることを特徴とする請求項4に記載の半導体装置の製造方法。
  7.  前記有機溶液が前記シリル化溶液の溶媒と同一物質であることを特徴とする請求項1に記載の半導体装置の製造方法。
  8.  前記有機溶液は、前記シリル化溶液に含まれるシリル化剤と反応しない溶媒であることを特徴とする請求項1に記載の半導体装置の製造方法。
  9.  前記有機溶液は炭化水素類、アルコール類、ケトン類、エステル類、エーテル類のうちから選ばれた少なくとも一つを含むことを特徴とする請求項1に記載の半導体装置の製造方法。
  10.  前記有機溶液がメタノール、エタノール、プロパノール、アセトン、メチルエチルケトン、ジエチルケトン、フェノールから選ばれた少なくとも一つを含むことを特徴とする請求項1に記載の半導体装置の製造方法。
  11.  前記シリル化溶液に含まれる前記シリル化剤がシラザン結合を有するアルキル基を有していることを特徴とする請求項1に記載の半導体装置の製造方法。
  12.  前記第1のライナー絶縁膜は、SiCN膜を有していることを特徴とする請求項1に記載の半導体装置の製造方法。
  13.  前記工程(e)において、前記基板を加熱する際には、段階的に加熱温度を上昇させることを特徴とする請求項1に記載の半導体装置の製造方法。
  14.  前記工程(e)において、前記基板の処理温度は150℃以上450℃以下であることを特徴とする請求項13に記載の半導体装置の製造方法。
  15.  前記配線の主成分は銅であることを特徴とする請求項1に記載の半導体装置の製造方法。
PCT/JP2009/005678 2009-01-15 2009-10-28 半導体装置の製造方法 WO2010082251A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/163,189 US8338290B2 (en) 2009-01-15 2011-06-17 Method for fabricating semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-006834 2009-01-15
JP2009006834A JP5014356B2 (ja) 2009-01-15 2009-01-15 半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/163,189 Continuation US8338290B2 (en) 2009-01-15 2011-06-17 Method for fabricating semiconductor device

Publications (1)

Publication Number Publication Date
WO2010082251A1 true WO2010082251A1 (ja) 2010-07-22

Family

ID=42339521

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/005678 WO2010082251A1 (ja) 2009-01-15 2009-10-28 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US8338290B2 (ja)
JP (1) JP5014356B2 (ja)
WO (1) WO2010082251A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104835777B (zh) * 2014-02-08 2017-11-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US20170345766A1 (en) * 2016-05-31 2017-11-30 Globalfoundries Inc. Devices and methods of forming low resistivity noble metal interconnect with improved adhesion
US9831174B1 (en) 2016-05-31 2017-11-28 Globalfoundries Inc. Devices and methods of forming low resistivity noble metal interconnect
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
CN117546277A (zh) * 2021-08-23 2024-02-09 株式会社国际电气 半导体装置的制造方法、基板处理方法、基板处理装置以及程序

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004096052A (ja) * 2002-03-13 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法ならびに金属配線
JP2004296668A (ja) * 2003-03-26 2004-10-21 Seiko Epson Corp パターンの形成方法及びデバイスの製造方法、導電膜配線、電気光学装置、並びに電子機器
JP2006319365A (ja) * 2006-07-20 2006-11-24 Toshiba Corp 半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11307474A (ja) 1998-04-17 1999-11-05 Sony Corp 半導体装置およびその製造方法
JP4044236B2 (ja) 1999-03-11 2008-02-06 株式会社東芝 半導体装置の製造方法
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
JP2005340288A (ja) 2004-05-24 2005-12-08 Sony Corp 半導体装置の製造方法
US20080166870A1 (en) * 2004-06-04 2008-07-10 International Business Machines Corporation Fabrication of Interconnect Structures
KR101192615B1 (ko) * 2004-11-11 2012-10-18 미쓰비시 가가꾸 가부시키가이샤 전계 효과 트랜지스터
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
US20100285667A1 (en) * 2009-05-06 2010-11-11 International Business Machines Corporation Method to preserve the critical dimension (cd) of an interconnect structure
US8354339B2 (en) * 2010-07-20 2013-01-15 International Business Machines Corporation Methods to form self-aligned permanent on-chip interconnect structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004096052A (ja) * 2002-03-13 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法ならびに金属配線
JP2004296668A (ja) * 2003-03-26 2004-10-21 Seiko Epson Corp パターンの形成方法及びデバイスの製造方法、導電膜配線、電気光学装置、並びに電子機器
JP2006319365A (ja) * 2006-07-20 2006-11-24 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
US20110250750A1 (en) 2011-10-13
JP5014356B2 (ja) 2012-08-29
US8338290B2 (en) 2012-12-25
JP2010165851A (ja) 2010-07-29

Similar Documents

Publication Publication Date Title
US8017522B2 (en) Mechanically robust metal/low-κ interconnects
CN100479146C (zh) 互连结构及其形成方法
US8017518B2 (en) Method for manufacturing a semiconductor device
JP3615205B2 (ja) 半導体装置及び半導体装置の製造方法
JP2011009769A (ja) 半導体デバイスおよびその構造体の製造方法
US7557035B1 (en) Method of forming semiconductor devices by microwave curing of low-k dielectric films
JP2004523910A (ja) 2つのスピン・オン誘電材料から構成されるハイブリッド型低誘電率相互接続構造
JP5014356B2 (ja) 半導体装置の製造方法
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
JP2008117903A (ja) 半導体装置の製造方法
JP2008502142A (ja) 相互接続構造の製造方法
US11749563B2 (en) Interlayer dielectric layer
JP4364258B2 (ja) 半導体装置及び半導体装置の製造方法
US20060261483A1 (en) Semiconductor device and method for manufacturing the same
US10727114B2 (en) Interconnect structure including airgaps and substractively etched metal lines
JP4629244B2 (ja) 半導体デバイス
US20150021770A1 (en) Back-end-of-line (beol) interconnect structure
US10373867B2 (en) Cobalt contact and interconnect structures
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
CN111261499A (zh) 一种形成多层遮罩的方法
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
CN105448805B (zh) 半导体结构及其形成方法
JP2009094123A (ja) 半導体装置の製造方法
JP5408116B2 (ja) 半導体装置の製造方法
TW413899B (en) Manufacturing process of unlanded via

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09838221

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09838221

Country of ref document: EP

Kind code of ref document: A1