WO2010067856A1 - 成膜方法および成膜装置、ならびに記憶媒体 - Google Patents

成膜方法および成膜装置、ならびに記憶媒体 Download PDF

Info

Publication number
WO2010067856A1
WO2010067856A1 PCT/JP2009/070724 JP2009070724W WO2010067856A1 WO 2010067856 A1 WO2010067856 A1 WO 2010067856A1 JP 2009070724 W JP2009070724 W JP 2009070724W WO 2010067856 A1 WO2010067856 A1 WO 2010067856A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
substrate
film
processed
chamber
Prior art date
Application number
PCT/JP2009/070724
Other languages
English (en)
French (fr)
Inventor
健索 成嶋
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to JP2010542130A priority Critical patent/JP5492789B2/ja
Priority to CN2009801501832A priority patent/CN102245802A/zh
Priority to KR1020117013467A priority patent/KR101282544B1/ko
Publication of WO2010067856A1 publication Critical patent/WO2010067856A1/ja
Priority to US13/158,120 priority patent/US8334208B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides

Definitions

  • the present invention relates to a film forming method and film forming apparatus for forming a titanium (Ti) film or a titanium silicide (TiSi x ) film on a surface of a substrate to be processed disposed in a chamber by CVD, and such a film forming method.
  • the present invention relates to a storage medium storing a program for executing the above.
  • the circuit configuration tends to have a multilayer wiring structure in response to the recent demand for higher density and higher integration. For this reason, the connection between the lower Si substrate and the upper wiring layer is required.
  • a metal wiring such as a W film used for embedding such contact holes, trenches and via holes and the underlying Si substrate
  • the contact holes and via holes are placed inside the contact holes and via holes prior to these embeddings.
  • a Ti film is formed.
  • a TiSi film is formed by a reaction between Ti and underlying Si, and then a TiN film is formed as a barrier film.
  • Such a Ti film has been conventionally formed by physical vapor deposition (PVD).
  • PVD physical vapor deposition
  • steps coverage steps coverage
  • CVD chemical vapor deposition
  • TiCl 4 gas, H 2 gas, and Ar gas are used as film forming gases, these are introduced into the chamber through a shower head, and the semiconductor wafer is heated by a stage heater while being parallel plate
  • a technique for forming a Ti film by plasma CVD in which high-frequency power is applied to an electrode, the gas is turned into plasma, and TiCl 4 gas and H 2 gas are reacted (for example, Japanese Patent Application Laid-Open No. 2004-197219).
  • the present invention intends to provide a film forming method and a film forming apparatus capable of forming a Ti film or a TiSi x film by CVD without causing plasma damage to a substrate to be processed. is there.
  • the present invention also intends to provide a storage medium storing a program for executing such a method.
  • the step of disposing the substrate to be processed in the chamber, and the step of supplying the processing gas containing the chlorine-containing gas through the supply path into the chamber in which the substrate to be processed is disposed.
  • a gas containing TiCl 4 gas is supplied to a gas introduction mechanism for introducing a processing gas into the chamber without placing a substrate to be processed in the chamber, and the gas A step of forming a Ti film in the introduction mechanism, a step of carrying a substrate to be processed into the chamber, a step of introducing a processing gas containing a chlorine-containing gas into the chamber via the gas introduction mechanism, and the processing A step of bringing a chlorine-containing gas in the processing gas into contact with the Ti film to introduce a gas into the chamber and reacting the chlorine-containing gas with Ti in the Ti film; Supplying a Ti precursor gas generated by the reaction between the chlorine-containing gas and Ti of the Ti film onto the substrate to be processed while heating the substrate, and depositing Ti on the surface of the substrate to be processed by a thermal reaction; Have A film forming method is provided.
  • a chamber for accommodating a substrate to be processed, a mounting table for mounting the substrate to be processed in the chamber, and a first heater for heating the substrate to be processed on the mounting table.
  • a gas introduction mechanism for introducing a processing gas into the chamber from a gas supply source through a gas pipe, a Ti-containing portion containing Ti provided in the processing gas supply path, and heating the Ti-containing portion
  • a film forming apparatus comprising: a possible second heater; an exhaust means for exhausting the inside of the chamber; and a control unit for controlling processing in the chamber, wherein the control unit is placed in the chamber.
  • a processing substrate is carried in and placed on the mounting table, a processing gas containing a chlorine-containing gas is introduced into the chamber via the gas pipe and a gas introduction mechanism, and the processing gas is introduced into the chamber.
  • the chlorine-containing gas in the processing gas is brought into contact with the Ti-containing portion and heated by the second heater to cause the chlorine-containing gas to react with Ti in the Ti-containing portion.
  • the Ti precursor gas generated by the reaction between the chlorine-containing gas and Ti in the Ti-containing portion is supplied onto the substrate to be processed, and the substrate is subjected to thermal reaction.
  • a film forming apparatus for depositing Ti on the surface of a processing substrate is provided.
  • a chamber for accommodating a substrate to be processed, a mounting table for mounting the substrate to be processed in the chamber, and a first heater for heating the substrate to be processed on the mounting table.
  • a gas introduction mechanism that introduces a processing gas into the chamber from a gas supply source through a gas pipe, a second heater that heats the gas introduction mechanism, and a plasma generation mechanism that generates plasma of the processing gas
  • a film forming apparatus comprising an exhaust means for exhausting the inside of the chamber and a control unit for controlling processing in the chamber, wherein the control unit does not place a substrate to be processed in the chamber.
  • a gas containing TiCl 4 gas is supplied to the gas introduction mechanism, a Ti film is formed in the gas introduction mechanism, a substrate to be processed is carried into the chamber, and the substrate is placed on the mounting table.
  • a processing gas containing a gas is introduced into the chamber through the gas pipe and a gas introduction mechanism, and when the processing gas is introduced into the chamber, a chlorine-containing gas in the processing gas is applied to the Ti film.
  • the chlorine-containing gas and Ti of the Ti film are reacted by being brought into contact and heated by the second heater, and the substrate containing the chlorine is heated while the substrate to be processed on the mounting table is heated by the first heater.
  • a film forming apparatus for supplying a Ti precursor gas generated by a reaction between a gas and Ti of the Ti film onto a substrate to be processed, and depositing Ti on the surface of the substrate to be processed by a thermal reaction.
  • a storage medium that operates on a computer and stores a program for controlling the film forming apparatus.
  • the program stores a substrate to be processed in the chamber at the time of execution.
  • a step of arranging, a step of supplying a processing gas containing a chlorine-containing gas through the supply path into the chamber in which the substrate to be processed is arranged, and a Ti-containing portion containing Ti in the supply path of the processing gas And, when supplying the processing gas to the chamber, bringing the chlorine-containing gas in the processing gas into contact with the Ti-containing portion and reacting the chlorine-containing gas with Ti in the Ti-containing portion; While heating the substrate to be processed in the chamber, a Ti precursor gas generated by the reaction between the chlorine-containing gas and Ti in the Ti-containing portion is supplied onto the substrate to be processed, and is applied to the surface of the substrate to be processed by a thermal reaction.
  • the film forming method of the Ti film and a step of depositing a i is performed, thereby controlling the film forming
  • a storage medium that operates on a computer and stores a program for controlling the film forming apparatus, and the program stores a substrate to be processed in the chamber at the time of execution.
  • a process including supplying a gas containing TiCl 4 gas to a gas introduction mechanism for introducing a processing gas into the chamber without being disposed, and forming a Ti film in the gas introduction mechanism; and a substrate to be processed in the chamber , A step of introducing a processing gas containing a chlorine-containing gas into the chamber via the gas introduction mechanism, and a chlorine-containing content in the processing gas when the processing gas is introduced into the chamber.
  • the film forming method includes a step of supplying a Ti precursor gas generated by the reaction with Ti onto the substrate to be processed and depositing Ti on the surface of the substrate to be processed by a thermal reaction.
  • a storage medium is provided for controlling the membrane device.
  • the present inventors have a Ti-containing portion present in the gas supply path into the chamber, and a chlorine-containing gas such as TiCl 4 gas is present in the gas supply path.
  • a chlorine-containing gas such as TiCl 4 gas is present in the gas supply path.
  • Ti reacts with the chlorine-containing gas to generate a Ti precursor gas such as TiCl 3 gas or TiCl 2 gas, and the Ti precursor gas is thermally reacted regardless of plasma. It was found that Ti was produced by the above.
  • the present invention having the above-described configuration has been completed based on such knowledge of the present inventors.
  • the flowchart which shows the film-forming method of Ti film
  • FIG. 4 is a cross-sectional view schematically showing a state where a contact layer is formed at the bottom of the contact hole of the wafer of FIG. 3. It is a figure which shows typically the condition at the time of forming Ti film
  • FIG. 12 is a flowchart for explaining a specific embodiment of a Ti film forming method performed in the film forming apparatus of FIG. 11. It is sectional drawing which shows the state which formed Ti film
  • 12 is a flowchart for explaining a specific embodiment of a Ti film forming method performed in the film forming apparatus of FIG. 11.
  • 12 is a flowchart for explaining a specific embodiment of a Ti film forming method performed in the film forming apparatus of FIG. 11.
  • 12 is a flowchart for explaining a specific embodiment of a Ti film forming method performed in the film forming apparatus of FIG. 11.
  • 12 is a flowchart for explaining a specific embodiment of a Ti film forming method performed in the film forming apparatus of FIG. 11.
  • 12 is a flowchart for explaining a specific embodiment of a Ti film forming method performed in the film forming apparatus of FIG. 11.
  • 12 is a flowchart for explaining a specific embodiment of a Ti film forming method performed in the film forming apparatus of FIG. 11. It is sectional drawing which shows the method of forming Ti film
  • the unit of the gas flow rate is mL / min.
  • the value converted into the standard state is used in the present invention.
  • the flow volume converted into the standard state is normally indicated by sccm (Standard Cubic Centimeter per Minutes), sccm is also written together.
  • the standard state here is a state where the temperature is 0 ° C. (273.15 K) and the atmospheric pressure is 1 atm (101325 Pa).
  • FIG. 1 is a flowchart showing a film forming method according to the present invention
  • FIGS. 2A to 2C are conceptual diagrams for explaining the principle of the film forming method according to the present invention.
  • a processing gas containing a chlorine-containing gas for example, TiCl 4 , is supplied into the chamber 1 through the supply path 3 while evacuating the chamber 1 and maintaining a vacuum (step 2). .
  • a processing gas containing a chlorine-containing gas for example, TiCl 4
  • the supply path 3 is provided with a Ti-containing part 2 containing Ti, and a chlorine-containing gas (TiCl 4 gas) flowing through the supply path 3 is brought into contact with the Ti-containing part 2 so that the Ti-containing part 2 React with Ti (step 3). That is, Ti in the Ti-containing portion 2 is etched by the chlorine-containing gas.
  • a chlorine-containing gas TiCl 4 gas
  • chlorine-containing gas in addition to TiCl 4 gas, Cl 2 gas and HCl gas can be used. However, it is preferable to use TiCl 4 which has been conventionally used as a Ti film forming material.
  • a Ti precursor (precursor) gas generated by the reaction between the chlorine-containing gas in step 3 and Ti in the Ti-containing portion 2 is supplied onto the wafer W heated to a predetermined temperature, Ti is generated by the reaction, and Ti is deposited on the wafer W (step 4).
  • the deposited Ti becomes a Ti film as it is, or the base is Si (Si substrate or polysilicon), and becomes a TiSi film by reaction with Si under predetermined conditions.
  • the reaction between the chlorine-containing gas and Ti can occur in the range of 200 to 800 ° C. From the viewpoint of effectively producing the reaction, the temperature at this time is more preferably 250 ° C. or higher, and from the viewpoint of reaction speed, it is preferably 600 ° C. or lower.
  • Ti precursor gas generated by the reaction between the chlorine-containing gas and Ti examples include TiCl 3 gas and TiCl 2 gas.
  • TiCl 3 gas can be generated as the Ti precursor gas by the following equation (1). Ti + 3TiCl 4 ⁇ 4TiCl 3 (1)
  • TiCl 2 gas as a Ti precursor gas by the following equation (2). Ti + TiCl 4 ⁇ 2TiCl 2 (2)
  • FIG. 3 is a diagram showing a vapor pressure curve of Ti chloride. It is as shown in the figure, as the coordination number of Cl is less low vapor pressure, hence more of TiCl 3 is higher vapor pressure than TiCl 2, the vapor pressure of the TiCl 3 is a conventional CVD-Ti film forming It is about the same as TiCl 4 partial pressure at the time.
  • the melting point of TiCl 2 is 1035 ° C., whereas that of TiCl 3 is 425 ° C., and TiCl 3 has a lower melting point. Therefore, TiCl 3 is more preferable than TiCl 3 because TiCl 3 is more easily gasified than TiCl 2 and has an advantage of being easily supplied to the wafer W in a gas phase.
  • the TiCl 3 production reaction of the formula (1) is preferably caused to occur in the range of 425 to 500 ° C. As shown in the following (3) exceeds 500 ° C., since TiCl 3 ends up thermally decomposed into TiCl 2 and TiCl 4, TiCl 3 gas is lower than the melting point of TiCl 3 is less likely to occur is less than 425 ° C. is there. 2TiCl 3 ⁇ TiCl 2 + TiCl 4 (3) The temperature dependence of the TiCl 3 production reaction is as shown in FIG.
  • the reaction temperature can be ensured by, for example, heating the Ti-containing portion 2 and controlling the temperature to a desired reaction temperature.
  • TiCl 2 can be generated as a Ti precursor according to the above formula (2).
  • the above equation (2) is a combined reaction of the above equations (1) and (3). Specifically, this is a reaction in which TiCl 3 generated by the reaction between TiCl 4 and Ti becomes TiCl 2 by thermal decomposition.
  • the temperature of the wafer W can be in the range of 200 to 800 ° C., preferably 350 to 700 ° C.
  • TiCl 2 is preferably adsorbed on the wafer W.
  • TiCl 3 is hardly adsorbed on Si, and since hardly Cl is eliminated, but it is difficult to produce an Ti by thermal decomposition by adsorbing TiCl 3 directly to the wafer W, TiCl 2 on quantum chemical calculation, TiCl This is because it is easier to adsorb to Si than 3, and the coordination number of Cl is smaller, so that the desorption of Cl becomes easier.
  • TiCl 2 has an advantage that it can easily react with Si and generate TiSi x more easily than TiCl 3 .
  • the temperature of the wafer W when Ti is generated is preferably over 500 ° C. at which TiCl 3 is decomposed to become TiCl 2 . That is, when the temperature exceeds 500 ° C., even when TiCl 3 gas is supplied onto the wafer W, decomposition of TiCl 3 occurs and TiCl 2 is adsorbed.
  • TiCl 2 gas is supplied, TiCl 2 gas is adsorbed as it is. More preferably, it is over 500 ° C. to 650 ° C.
  • Figure 5 is a diagram showing the temperature dependence of the film thickness of the TiSi 2 film when the deposition of the Ti on the Si portion of the wafer. As shown in this figure, it can be seen that the thickness of the TiSi 2 film rapidly decreases when the wafer temperature is around 500 ° C. or lower. Conversely, when the temperature exceeds 600 ° C., the film thickness increases.
  • TiCl 4 was used as a film forming material.
  • TiCl 4 has a high binding energy of 17.32 eV, and plasma is required to generate Ti by decomposing it. there were.
  • the absolute value of TiCl 3 or TiCl 2 binding energy is less than the absolute value of the binding energy of TiCl 4, since it is 9.42eV at TiCl 2, which is necessary to the TiCl 4 and precursor Ti can be generated by thermal reaction without using plasma. Therefore, a Ti film or a TiSi x film can be formed without causing plasma damage to the wafer W.
  • the processing gas supplied into the chamber 1 may be a chlorine-containing gas alone, or may be a gas to which another gas such as a gas for promoting the reaction or a carrier gas is added.
  • a gas for promoting the reaction or a carrier gas is added.
  • H 2 gas may be added as a reaction promoting gas
  • an inert gas such as Ar gas may be added as a carrier gas
  • a TiCl 4 gas may be added to both of the H 2 gas and the carrier gas.
  • H 2 gas Cl of TiCl 2 adsorbed on the wafer W can be desorbed with lower energy, and the film formation of the Ti film is promoted.
  • H 2 gas TiCl 2 H x is generated, whereby the absolute value of the binding energy can be made smaller than that of TiCl 2, and the film formation of the Ti film is promoted with low energy.
  • the Ti-containing portion 2 may be disposed at any position in the supply path 3 as long as a Ti precursor gas such as TiCl 3 gas or TiCl 2 gas is generated by contact with a chlorine-containing gas such as TiCl 4 gas. Good.
  • a Ti precursor gas such as TiCl 3 gas or TiCl 2 gas is generated by contact with a chlorine-containing gas such as TiCl 4 gas.
  • it can be arranged in a piping for supplying a chlorine-containing gas or a gas introduction mechanism for introducing the chlorine-containing gas into the chamber 1, for example, a shower head.
  • the form of the Ti-containing portion 2 may be a film shape or a bulk shape.
  • the Ti-containing portion 2 is typically composed of Ti alone, but as long as Ti precursor gas such as TiCl 3 gas or TiCl 2 gas is generated, it is composed of a mixture or compound with other substances. Also good.
  • an interlayer insulating film 11 is formed on the Si substrate 10, and a contact hole 12 reaching the impurity diffusion region 10 a of the Si substrate 10 is formed in the interlayer insulating film 11.
  • Ti film 13 By forming the Ti film 13 on the wafer W having such a structure, as shown in FIG. 7, Ti and the underlying Si react at the bottom of the contact hole 12 to form a contact made of TiSi x , for example, TiSi 2.
  • Layer 14 is formed.
  • the film to be formed is not a TiSi x film but a Ti film
  • the film was formed from the viewpoint of preventing oxidation of the Ti film and preventing film peeling after the film formation, as in the conventional Ti film forming process.
  • Nitriding treatment may be performed on the Ti film.
  • the Ti film on the side wall of the contact hole tends to be thin, and depending on the conditions, the Ti film may not be formed on the side wall of the contact hole as shown in FIG. is there.
  • the Ti film 13 on the upper surface of the interlayer insulating film 11 and the contact layer 14 made of the TiSi x film at the bottom of the contact hole 12 are insulated, electrons do not enter the contact hole 12, There is a possibility that the charge of ions in the plasma accumulates at the bottom of the contact hole 12 and the device is destroyed due to the electron shading effect (plasma damage).
  • the Ti film 13 is formed on the side wall of the contact hole 12 as shown in FIG. Therefore, the Ti film 13 on the upper surface of the interlayer insulating film 11 is electrically connected to the contact layer 14 made of the TiSi x film at the bottom of the contact hole 12. For this reason, even if plasma is subsequently generated, electrons flow to the bottom of the contact hole 12 and the charge of ions in that portion disappears, so that plasma damage hardly occurs.
  • FIG. 11 is a cross-sectional view showing a schematic configuration of a film forming apparatus used in a specific embodiment of the present invention.
  • the film forming apparatus 100 has a substantially cylindrical chamber 21. Inside the chamber 21, a susceptor 22 made of AlN, which is a mounting table (stage) for horizontally supporting the Si wafer W, which is a substrate to be processed, is provided in a cylindrical support member 23 provided at the center lower part thereof. It is arrange
  • a heater 25 made of a high melting point metal such as molybdenum is embedded in the susceptor 22, and the heater 25 is supplied with power from a heater power source 26 to heat the wafer W as a substrate to be processed to a predetermined temperature.
  • a heater power source 26 to heat the wafer W as a substrate to be processed to a predetermined temperature.
  • an electrode 28 functioning as a lower electrode of a parallel plate electrode is embedded, and this electrode 28 is grounded.
  • the top wall 21a of the chamber 21 is provided with a premix-type shower head 30 that also functions as an upper electrode of a parallel plate electrode through an insulating member 29 as a gas introduction mechanism for introducing gas through a gas pipe.
  • the shower head 30 includes a base member 31 and a shower plate 32, and the outer peripheral portion of the shower plate 32 is attached to the base member 31 with screws (not shown) via an annular intermediate member 33 for preventing sticking. It is fixed.
  • the shower plate 32 has a flange shape, and a recess is formed therein.
  • a gas diffusion space 34 is formed between the base member 31 and the shower plate 32.
  • a flange 31 a is formed on the outer periphery of the base member 31, and the flange 31 a is supported by the insulating member 29.
  • a plurality of gas discharge holes 35 are formed in the shower plate 32, and one gas introduction hole 36 is formed near the center of the base member 31.
  • the gas introduction hole 36 is connected to a gas pipe of the gas supply mechanism 40.
  • Gas supply mechanism 40 Ar gas supply source for supplying TiCl 4 gas supply source 42, Ar gas supplying TiCl 4 gas, which is a ClF 3 gas supply source 41, Ti compound gas supplying ClF 3 gas as a cleaning gas 43, have a H 2 gas H 2 gas supply source 44 for supplying, NH 3 gas for supplying the NH 3 gas supply source 45, N 2 gas supplied N 2 gas supply source 46 is a gas nitriding a reducing gas is doing.
  • the ClF 3 gas supply source 41 includes ClF 3 gas supply lines 47 and 50b
  • the TiCl 4 gas supply source 42 includes the TiCl 4 gas supply line 48
  • the Ar gas supply source 43 includes the Ar gas supply line 49
  • H 2 H 2 gas supply line 50 to the gas supply source 44
  • NH in the NH 3 gas supply source 45 3 gas supply line 50a, N 2 N 2 gas supply line 50c to the gas supply source 46, are connected ing.
  • Each gas line is provided with two valves 51 sandwiching the mass flow controller 52 and the mass flow controller 52.
  • TiCl 4 Ar gas supply line 49 extending from the ClF 3 gas supply line 47 and the Ar gas supply source 43 extending from the ClF 3 gas supply source 41 to the TiCl 4 gas supply line 48 extending from the gas supply source 42 is connected.
  • the H 2 gas supply line 50 extending from the H 2 gas supply source 44 includes an NH 3 gas supply line 50a extending from the NH 3 gas supply source 45, an N 2 gas supply line 50c extending from the N 2 gas supply source 46, and ClF.
  • a ClF 3 gas supply line 50b extending from the 3 gas supply source 41 is connected.
  • the TiCl 4 gas supply line 48 and the H 2 gas supply line 50 are connected to a gas mixing portion 67, and the mixed gas mixed there is connected to the gas introduction hole 36 through a gas pipe 68.
  • the mixed gas reaches the gas diffusion space 34 through the gas introduction hole 36 and is discharged toward the wafer W in the chamber 21 through the gas discharge hole 35 of the shower plate 32.
  • Valves 75 and 76 are provided on the upstream side of the gas mixing section 67 of the TiCl 4 gas supply line 48 and the H 2 gas supply line 50, respectively.
  • a high frequency power source 54 is connected to the shower head 30 via a matching unit 53, and high frequency power is supplied from the high frequency power source 54 to the shower head 30.
  • the gas supplied into the chamber 21 through the shower head 30 is turned into plasma to perform film formation.
  • the base member 31 of the shower head 30 is provided with a heater 65 for heating the shower head 30.
  • a heater power supply 66 is connected to the heater 65, and the shower head 30 is heated to a desired temperature by supplying power to the heater 65 from the heater power supply 66.
  • a heat insulating member 69 is provided in the recess formed in the upper part of the base member 31 in order to increase the heating efficiency by the heater 65.
  • a circular hole 55 is formed at the center of the bottom wall 21b of the chamber 21, and an exhaust chamber 56 is provided on the bottom wall 21b so as to protrude downward so as to cover the hole 55.
  • An exhaust pipe 57 is connected to a side surface of the exhaust chamber 56, and an exhaust device 58 is connected to the exhaust pipe 57. By operating the exhaust device 58, the inside of the chamber 21 can be depressurized to a predetermined degree of vacuum.
  • the susceptor 22 is provided with three (only two shown) wafer support pins 59 for supporting the wafer W to be moved up and down so as to protrude and retract with respect to the surface of the susceptor 22. It is supported by the plate 60.
  • the wafer support pins 59 are lifted and lowered via the support plate 60 by a drive mechanism 61 such as an air cylinder.
  • a loading / unloading port 62 for loading / unloading the wafer W to / from a wafer transfer chamber (not shown) provided adjacent to the chamber 21, and a gate valve 63 for opening / closing the loading / unloading port 62. Is provided.
  • Heaters 81, 82, 83, and 84 are embedded in the wall portion of the chamber 21, the wall portion of the exhaust chamber 56, the exhaust pipe 57, and the gate valve 63, respectively.
  • a heater power supply 85 is connected to these heaters, and the wall of the chamber 21, the wall of the exhaust chamber 56, the exhaust pipe 57, and the gate valve 63 are heated to a predetermined temperature by supplying power to the heaters from the heater power supply 85. It has come to be.
  • the heater power supplies 26 and 66, the valve 51, the mass flow controller 52, the matching unit 53, the high frequency power supply 54, the drive mechanism 61, and the like, which are components of the film forming apparatus 100, are connected to a control unit 70 including a microprocessor (computer). It is configured to be controlled.
  • the control unit 70 also includes a user interface 71 including a keyboard and a touch panel on which an operator inputs commands to manage the film forming apparatus 100, a display that visualizes and displays the operating status of the film forming apparatus 100, and the like. Is connected. Further, the control unit 70 executes processing on each component of the film forming apparatus 100 in accordance with a program for realizing various processes executed by the film forming apparatus 100 under the control of the control unit 70 and processing conditions.
  • the processing recipe is stored in the storage medium 72 a in the storage unit 72.
  • the storage medium may be a fixed one such as a hard disk or a portable one such as a CDROM or DVD. Moreover, you may make it transmit a recipe suitably from another apparatus via a dedicated line, for example. Then, if necessary, an arbitrary processing recipe is called from the storage unit 72 by an instruction from the user interface 71 and is executed by the control unit 70, so that the film forming apparatus 100 performs the control under the control of the control unit 70. Desired processing is performed.
  • a Ti film forming process is performed on the shower head 30 in a state where the wafer W is not present in the chamber 21 (step 11).
  • the Ti film formation process on the shower head 30 is a process for forming a Ti-containing portion used when forming the Ti film on the wafer W, and is the same as the Ti film formation using the conventional plasma. It is performed under the conditions of
  • high-frequency power is supplied from the high-frequency power supply 54 to the shower head 30 while introducing TiCl 4 gas, H 2 gas, and Ar gas as a carrier gas through the shower head 30 while the chamber 21 is kept in vacuum.
  • these gases are turned into plasma, and a Ti film 101 is formed on the surface (outer surface) of the shower head 30 as shown in FIG.
  • the temperature of the shower head 30 at this time is in the range of 200 to 620 ° C., preferably in the range of 400 to 620, for example, 480 ° C.
  • the film thickness of the Ti film formed on the shower head 30 varies greatly depending on the temperature of the shower head 30, it is within a range of 30 ° C., for example, 450 to 480 so that the film thickness of the Ti film does not vary greatly. It is preferable to control the temperature to be within the range of ° C.
  • the shower head temperature here is the temperature of the surface of the shower head 30, and this temperature is controlled by adjusting the set temperature of the heater 65.
  • Preferred ranges of other conditions in step 11 are as follows. i) High frequency power from the high frequency power supply 54 Frequency: 300 kHz to 27 MHz Power: 100-1500W ii) TiCl 4 gas flow rate 300 mm wafer: 1 to 100 mL / min (sccm), preferably 4 to 50 mL / min (sccm) Per unit area: 1.415 ⁇ 10 ⁇ 5 to 1.415 ⁇ 10 ⁇ 3 mL / min / mm 2 (sccm / mm 2 ), preferably 5.66 ⁇ 10 ⁇ 5 to 7.075 ⁇ 10 ⁇ 4 mL / Min / mm 2 (sccm / mm 2 ) iii) Ar gas flow rate 300 mm wafer: 100 to 2000 mL / min (sccm), preferably 500 to 1800 mL / min (sccm) Per unit area: 1.415 ⁇ 10 ⁇ 3 to 2.831 ⁇ 10 ⁇ 2
  • the film formation time may be appropriately set according to the film thickness to be formed.
  • a film thickness of about 4 to 20 nm can be obtained with a film formation time of about 15 to 90 seconds.
  • the high-frequency power supply 54 is turned off to stop the plasma, the supply of gas is stopped, the inside of the chamber 21 is purged, the gate valve 63 is opened, and the wafer W is loaded into the chamber 21 by a transfer mechanism (not shown). Then, it is placed on the susceptor 22 (step 12).
  • the wafer W for example, as shown in FIG. 3 described above, an interlayer insulating film 11 is formed on the Si substrate 10, and a contact hole 12 reaching the impurity diffusion region 10 a of the Si substrate 10 is formed in the interlayer insulating film 11. Those having a different structure are used.
  • Ti is deposited on the surface of the wafer W by heat without using plasma (step 13).
  • step 13 first, TiCl 4 gas as a chlorine-containing gas, H 2 gas as a reaction promoting gas, and carrier gas are passed through the shower head 30 in the chamber 21 with a predetermined pressure inside the chamber 21. Ar gas is introduced (step 13-1).
  • a Ti film 101 as a Ti-containing portion is formed on the surface (outer surface) of the shower head 30.
  • a TiCl 4 gas as a chlorine-containing gas is brought into contact with the Ti film 101, and the TiCl 4 gas and Ti are reacted (step 13-2). . That is, since the Ti film 101 is Ti-containing portion is formed in the supply path of the TiCl 4 gas, TiCl 4 gas in supplying TiCl 4 gas into the chamber 21 is in contact with the Ti film 101, TiCl 4 The gas reacts with the Ti film 101.
  • This reaction can occur in the range of 200 to 800 ° C., preferably in the range of 400 to 600 ° C. Therefore, it is preferable to control the temperature of the shower head 30 to a temperature in this range.
  • This reaction produces Ti precursor gas.
  • TiCl 4 gas is used as the chlorine-containing gas as in this embodiment
  • TiCl 3 gas or TiCl 2 gas is used as the Ti precursor gas by the reaction (1) or (2) as described above. Generate.
  • TiCl 3 is easier to gasify than TiCl 2 and has an advantage that it can be easily supplied to the wafer W in a vapor phase. Therefore, TiCl 3 is preferable.
  • the shower head 30 may be heated to over 500 ° C.
  • the etching rate of the Ti film 101 due to the reaction with TiCl 4 varies greatly depending on the temperature of the shower head 30, so that the temperature of the shower head 30 is within a range of 30 ° C., for example, 450 to It is preferable to control to be within a range of 480 ° C.
  • the shower head temperature here is also the temperature of the surface of the shower head 30.
  • the temperature of the showerhead 30 is preferably set to the same temperature when the Ti film 101 in Step 11 is formed and when the TiCl 4 gas and Ti are reacted in Step 13-2. It is preferable to control the shower head 30 at the same temperature in the range of 425 to 500 ° C., which is a preferable temperature range at this time.
  • a Ti precursor gas generated by the reaction between the TiCl 4 gas and the Ti film 101 is supplied onto the wafer W heated to a predetermined temperature, Ti is generated by a thermal reaction, and Ti is deposited on the wafer W (process) 13-3).
  • Cl is desorbed by a thermal reaction from the Ti precursor that has reached the wafer W, and Ti is generated without using plasma.
  • a Ti film is formed on the wafer W (step 13-3).
  • the deposited Ti becomes a Ti film as it is, or the base is Si (Si substrate or polysilicon), and becomes a TiSi film by reaction with Si under predetermined conditions.
  • the temperature of the wafer W at this time can be in the range of 200 to 800 ° C. as described above, and is preferably 350 to 700 ° C.
  • the temperature of the wafer W be higher than 500 ° C. at which TiCl 2 is easily generated.
  • the temperature exceeds 500 ° C. even when TiCl 3 gas is supplied onto the wafer W, decomposition of TiCl 3 occurs and TiCl 2 is adsorbed. More preferably, it is over 500 ° C. to 650 ° C.
  • the susceptor temperature is measured and the wafer temperature is grasped from the measured value.
  • the wafer temperature is about 5 to 50 ° C. lower than the susceptor temperature.
  • the temperature of the showerhead 30 is set to 425 to 500 ° C.
  • the Ti precursor gas is mainly TiCl 3 gas
  • the temperature of the wafer W is over 500 ° C. It is preferable that the supplied TiCl 3 gas is decomposed into TiCl 2 gas and adsorbed on the wafer W, and Cl is desorbed from TiCl 2 by a thermal reaction to generate Ti on the wafer W.
  • TiCl 4 gas flow rate 300 mm wafer 1 to 100 mL / min (sccm), preferably 4 to 50 mL / min (sccm) Per unit area: 1.415 ⁇ 10 ⁇ 5 to 1.415 ⁇ 10 ⁇ 3 mL / min / mm 2 (sccm / mm 2 ), preferably 5.66 ⁇ 10 ⁇ 5 to 7.075 ⁇ 10 ⁇ 4 mL / Min / mm 2 (sccm / mm 2 )
  • Ar gas flow rate 300 mm wafer 100 to 2000 mL / min (sccm), preferably 500 to 1800 mL / min (sccm) Per unit area: 1.415 ⁇ 10 ⁇ 3 to 2.831 ⁇ 10 ⁇ 2 mL / min / mm 2 (sccm / mm 2 ), preferably 7.077 ⁇ 10 ⁇ 3
  • the film formation time may be appropriately set according to the film thickness to be formed.
  • the thickness of the Ti film is about 1 to 10 nm, and the film formation time at that time is about 1 to 90 sec.
  • the gas supply is stopped, the inside of the chamber 21 is purged, the gate valve 63 is opened, and the wafer W is transferred by a transfer mechanism (not shown). Unload from the chamber 21 (step 14).
  • nitridation processing in the chamber 21 is performed in the state where the wafer W does not exist in the chamber 21 (step 15). This nitriding treatment is performed to prevent the Ti film formed on the surface of the shower head 30 and the surface of the susceptor 22 from being peeled off and becoming particles.
  • NH 3 gas is flowed together with H 2 gas and Ar gas as a nitriding gas, and the high frequency power supply 54 supplies the shower head 30.
  • the processing gas is converted into plasma by applying high-frequency power, and the surface of the Ti film formed on the inner wall of the chamber 21, the surface of the shower head 30 and the surface of the susceptor 22 is nitrided by the processing gas converted into plasma.
  • Preferred conditions for the nitriding treatment are as follows. i) High frequency power from the high frequency power supply 54 Frequency: 300 kHz to 27 MHz Power: 100-1500W ii) NH 3 gas flow rate 300 mm wafer: 100 to 2000 mL / min (sccm) Per unit area: 1.415 ⁇ 10 ⁇ 3 to 2.831 ⁇ 10 ⁇ 2 mL / min / mm 2 (sccm / mm 2 ) iii) Ar gas flow rate of 300 mm wafer: 100 to 2000 mL / min (sccm) Per unit area: 1.415 ⁇ 10 ⁇ 3 to 2.831 ⁇ 10 ⁇ 2 mL / min / mm 2 (sccm / mm 2 ) iv) H 2 gas flow rate 300mm wafers: 250 ⁇ 5000mL / min (sccm ) Per unit area: 3.539 ⁇ 10 ⁇ 3 to 7.077 ⁇ 10 ⁇
  • the nitriding treatment can also be performed without using plasma.
  • the preferable conditions in that case are as follows. i) NH 3 gas flow rate 300 mm wafer: 100 to 2000 mL / min (sccm) Per unit area: 1.415 ⁇ 10 ⁇ 3 to 2.831 ⁇ 10 ⁇ 2 mL / min / mm 2 (sccm / mm 2 ) ii) Ar gas flow rate of 300 mm wafer: 100 to 2000 mL / min (sccm) Per unit area: 1.415 ⁇ 10 ⁇ 3 to 2.831 ⁇ 10 ⁇ 2 mL / min / mm 2 (sccm / mm 2 ) iii) H 2 gas flow rate 300 mm wafer: 250-5000 mL / min (sccm) Per unit area: 3.539 ⁇ 10 ⁇ 3 to 7.077 ⁇ 10 ⁇ 2 mL / min / mm 2 (sccm / mm
  • a series of steps for forming a Ti film on one wafer is completed. Then, these steps 11 to 15 are repeated for a plurality of wafers W.
  • the cleaning of the chamber 21 is performed by introducing a ClF 3 gas as a cleaning gas into the chamber 21 in a state where the wafer W does not exist in the chamber 21. .
  • the inside of the chamber 21 is precoated with, for example, a TiN film, and the above wafer processing step is repeated.
  • TiCl 4 as a chlorine-containing gas is brought into contact with the Ti film 101 formed on the surface of the shower head 30 with the wafer W on the susceptor 22 to react with them, and Ti produced thereby Since TiCl 3 gas or TiCl 2 gas is used as the precursor, it is possible to generate Ti by desorbing Cl with lower energy than in the case of using TiCl 4 as a precursor. Therefore, Ti can be deposited only by thermal reaction without using plasma, and a Ti film or TiSi x film can be formed without causing plasma damage to the wafer W.
  • a Ti film is formed on the surface of the shower head 30 in the same manner as the existing Ti film forming method, and the Ti film on the surface of the shower head 30 reacts with TiCl 4 conventionally used as a film forming gas. Since the Ti precursor is generated and Ti is deposited on the wafer W, it is possible to realize plasmaless Ti film formation or TiSi x film formation using the same gas as in the existing apparatus and the conventional one. it can.
  • the film to be formed is not a TiSi x film but a Ti film
  • the film is formed from the viewpoint of preventing oxidation of the obtained Ti film and preventing film peeling.
  • the nitrided film may be subjected to nitriding treatment.
  • the film formation flow at this time is as shown in the flowchart of FIG. That is, after performing the steps 11 to 13 as described above, the nitriding treatment of the Ti film or the TiSi x film (step 16) is performed, and the wafer W in the step 14 is unloaded.
  • the nitriding treatment in the chamber 21 in the step 15 is not necessary.
  • the nitriding treatment in step 16 can be performed under the same conditions as the nitriding treatment of the shower head 30 and the like in step 15 described above.
  • the Ti film can be deposited with good step coverage with respect to the contact hole, and the Ti film is sufficiently formed on the side wall of the contact hole. Therefore, after the Ti film is formed on the surface of the wafer W for a predetermined time in the step 13, plasma damage due to the shading effect does not occur even if plasma is generated. For this reason, as shown in the flowchart of FIG. 16, after depositing Ti on the side wall to a thickness that can ensure conduction to the bottom of the contact hole in step 13, high frequency power is applied to the shower head 30 from the high frequency power source 54. Thus, the step of depositing Ti by the plasma generated in the chamber 21 (step 17) can be performed. Thereby, the film formation reaction can be promoted, and the film formation time can be shortened. At this time, the power of the high-frequency power is preferably 100 to 1500 W.
  • step 16 may be performed after such step 17.
  • Ti can also be deposited by heat without using plasma under the same conditions as in step 13 (step 18). This is because the Ti film adheres to the surface of the shower head 30 when Ti is deposited by plasma as in the step 17, so that Ti deposition without plasma can be performed. Step 17 and step 18 may be repeated a plurality of times.
  • step 17, step 18 and step 16 show an example in which the nitriding treatment of the Ti film in step 16 is performed after step 18 in FIG.
  • step 17, step 18 and step 16 may be repeated a plurality of times.
  • step 17 and step 18 may be repeated a plurality of times as shown in FIG. Of course, these repetitions may not be performed.
  • a Ti film is formed on the outer surface of the shower head 30, but in this case, a high frequency electric field is formed between the shower head 30 and the electrode 28 in the susceptor 22 to form inside the chamber 21.
  • a Ti film is also formed on the susceptor 22 because of the generation of plasma.
  • FIG. 21A when the insulating member 110 is disposed between the base plate 31 and the shower plate 32 and high frequency power is applied to the base member 31 from the high frequency power source 54, It is preferable that a high frequency electric field is formed between the base plate 31 and the shower plate 32 so that plasma is generated in the gas diffusion space 34. Accordingly, as shown in FIG.
  • the Ti film 102 can be formed on the inner surface of the shower head 30, and the Ti film can be prevented from being formed on the susceptor 22. Further, thus the Ti film 102 formed on the inner surface of the shower head 30, because that is present in the supply path of the TiCl 4 gas, TiCl 4 gas is reacted in contact with the Ti film 102. Thereby, Ti precursor gas is generated, and a Ti film can be formed on the surface of the wafer W without using plasma.
  • the distance D2 of the recess 110a is preferably set to such a value that discharge does not flow into it, according to Paschen's law, and preferably 1 to 3 mm.
  • the Ti film is deposited on the wafer W as shown in the flow of FIGS.
  • a film forming apparatus configured as shown in FIG. 23 is insulated between the base plate 31 and the shower plate 32 so that the plasma generation into the gas diffusion space 34 and the plasma generation into the chamber 21 can be selectively performed by the high frequency power source 54.
  • the high frequency power supply 54 can be connected to both the base plate 31 and the shower plate 32, and the connection to the shower plate 32 can be connected and disconnected by the switch 112.
  • the switch 112 cuts off the connection of the high-frequency power source 54 to the base plate 31, and when generating plasma also in the chamber 21, the switch 112 Thus, the high frequency power supply 54 is also connected to the base plate 31.
  • a remote plasma source 105 is connected to a gas pipe 68 to generate plasma from the remote plasma source 105 as shown in FIG.
  • a Ti film may be formed by the above.
  • a Ti film is formed on the gas pipe 68 on the supply side from the shower head 30 in addition to the inner surface of the shower head 30.
  • a Ti-containing member is disposed in advance in a TiCl 4 gas supply path, for example, the shower head 30 or piping. Also good.
  • the Ti-containing member 103 is fitted into the portion where the TiCl 4 gas is introduced into the gas diffusion space 34 of the base plate 31 of the shower head 30 so as to continue to the gas introduction hole 36.
  • TiCl 4 gas is introduced into the gas diffusion space 34 of the shower head 30 through the gas pipe 68, the gas introduction hole 36, and the Ti-containing member 103, but NH 3 gas, H 2 gas, etc.
  • the gas diffusion space 34 is introduced through the pipe 133 and the gas introduction hole 140.
  • the Ti-containing member 103 has a disk part 121 and a flange part 122 having a large number of gas flow holes 122a provided in the lower part of the disk part 121.
  • a screw is inserted into a screw hole 122 b provided in the portion 122 and is screwed to the lower surface of the base plate 31.
  • the disk part 121 includes a cylindrical base 123 made of a metal having high heat resistance and corrosion resistance, such as Ni, and a Ti member arrangement part 124 arranged in the inner space thereof.
  • the Ti member disposition portion 124 is configured by disposing a Ti member in a state where TiCl 4 gas can flow.
  • the Ti member placement portion 124 is formed by filling a space with a granular Ti member, a mesh-like Ti member, or a breathable Ti member such as a honeycomb shape. Yes.
  • the TiCl 4 gas supplied from the gas pipe 68 to the Ti-containing member 103 via the gas introduction hole 36 flows through the Ti member arrangement portion 124 heated to a predetermined temperature by the heater 65, It reacts with Ti by contacting the Ti member. Then, the Ti precursor gas generated by this reaction passes through the gas flow hole 122a, reaches the gas diffusion space 34, and is introduced into the chamber 21 through the gas discharge hole 35.
  • FIG. 27 is a diagram illustrating a preferable example of the gas supply pipe when the Ti-containing member 103 is provided.
  • a backflow prevention pipe 131 for preventing a backflow of TiCl 4 gas is connected.
  • a carrier gas pipe 132 is connected to the upstream side. Opening / closing valves 135a and 135b are provided on the upstream side of the connecting part of the carrier gas pipe 132 in the gas pipe 68 and between the connecting part of the carrier gas pipe 132 and the connecting part of the backflow prevention pipe 131, respectively.
  • Ar gas is passed through the backflow prevention pipe 131 as a backflow prevention gas.
  • the backflow prevention pipe 131 is provided with an open / close valve 136. Further, Ar gas, for example, is supplied as a carrier gas to the carrier gas pipe 132, and the carrier gas supplied to the carrier gas pipe 132 can be supplied to the gas pipe 68. From the middle of the carrier gas pipe 132, a gas pipe 133 extending to the base member 31 of the shower head 30 is branched and extends, and a gas introduction hole 140 is formed at a connection portion of the gas pipe 133 of the base member 31.
  • the carrier gas pipe 132 is provided with opening / closing valves 137a and 137b before and after the connecting portion of the gas pipe 133.
  • a gas pipe 134 is connected to the gas pipe 133, and NH 3 gas, H 2 gas, Ar gas, and N 2 gas are supplied to the gas pipe 134, and these gases pass through the gas pipe 134 and are gas pipe 133.
  • the gas is introduced into the gas diffusion space 34 of the shower head 30 through the gas introduction hole 140.
  • An opening / closing valve 138 is provided on the upstream side of the gas pipe 134 connecting portion of the gas pipe 133.
  • the gas pipe 134 is provided with an open / close valve 139.
  • the TiCl 4 gas supplied to the gas pipe 68 may be introduced into the gas diffusion space 34 of the shower head 30 by bypassing the Ti-containing member 103 via the carrier gas pipe 132, the gas pipe 133, and the gas introduction hole 140. It is possible.
  • the opening / closing valves 135a, 135b, 136, 137a, and 137b are opened, and the TiCl 4 gas is allowed to flow through the gas pipe 68 while supplying the carrier gas.
  • at least one of NH 3 gas, H 2 gas, Ar gas, and N 2 gas passes through the gas pipes 134 and 133 and the gas introduction hole 140 in a state where the opening / closing valve 138 is closed and 139 is opened. It is introduced into the gas diffusion space 34.
  • a Ti-containing member 104 is arranged in the middle of a gas pipe 68 as shown in FIG.
  • the TiCl 4 gas passes through the Ti-containing member 104 in the middle of the gas pipe 68 and is further introduced into the gas diffusion space 34 of the shower head 30 through the gas pipe 68 and the gas introduction hole 36.
  • NH 3 gas, H 2 gas, and the like are introduced into the gas diffusion space 34 via another pipe 153 and a gas introduction hole 160.
  • the Ti-containing member 104 includes a base member 141 having a substantially cylindrical shape made of a metal having high heat resistance and corrosion resistance such as Ni, and a cartridge embedded on the outer peripheral side of the base member 141.
  • a heater 142 and a Ti member placement portion 143 disposed in the inner space of the base member 141 are included.
  • the Ti member disposition portion 143 is configured by disposing a Ti member in a state where TiCl 4 gas can flow. That is, the Ti member placement portion 143 is formed by filling a space with a granular Ti member, a mesh-like Ti member, or a honeycomb-like Ti member in a breathable state. Yes.
  • the TiCl 4 gas supplied from the gas pipe 68 to the Ti-containing member 104 flows through the Ti member placement portion 143 heated to a predetermined temperature by the cartridge heater 142, and contacts the Ti member during that time. Reacts with Ti.
  • the Ti precursor gas generated by this reaction reaches the gas diffusion space 34 through the gas pipe 68 and the gas introduction hole 36, and is introduced into the chamber 21 through the gas discharge hole 35.
  • FIG. 30 is a diagram illustrating a preferable example of the gas supply pipe when the Ti-containing member 104 is provided.
  • TiCl 4 gas on the downstream side of the Ti-containing member 104 in the gas pipe 68 for supplying to the shower head 30, TiCl 4 and the backflow prevention pipe 151 for preventing gas backflow is connected, Ti-containing member 104 in the gas pipe 68
  • a carrier gas pipe 152 is connected to the upstream side
  • a gas pipe 153 is connected to the downstream side of the carrier gas pipe 152 connecting portion.
  • Opening / closing valves 155 a and 155 b are provided in the gas pipe 68 upstream of the carrier gas pipe 152 connection part and between the gas pipe 153 connection part and the Ti-containing member 104, respectively.
  • Ar gas is passed through the backflow prevention pipe 151 as backflow prevention gas.
  • the backflow prevention pipe 151 is provided with an open / close valve 156.
  • Ar gas is supplied as a carrier gas to the carrier gas pipe 152, and the carrier gas supplied to the carrier gas pipe 152 can be supplied to the gas pipe 68.
  • the carrier gas pipe 152 is provided with an open / close valve 157.
  • the gas pipe 153 reaches the base member 31, and a gas introduction hole 160 is formed at a connection portion of the gas pipe 153 of the base member 31.
  • a gas pipe 154 is connected to the gas pipe 153, and NH 3 gas, H 2 gas, Ar gas, and N 2 gas are supplied to the gas pipe 154, and these gases pass through the gas pipe 154 and the gas pipe 153.
  • the gas is introduced into the gas diffusion space 34 of the shower head 30 through the gas introduction hole 160.
  • An opening / closing valve 159 is provided on the upstream side of the gas pipe 153 connection portion of the gas pipe 153.
  • the gas pipe 154 is provided with an open / close valve 158.
  • the TiCl 4 gas supplied to the gas pipe 68 can be introduced into the gas diffusion space 34 of the shower head 30 by bypassing the Ti-containing member 104 via the gas pipe 153 and the gas introduction hole 160.
  • the open / close valves 155a, 155b, 156, and 157 are opened, and the TiCl 4 gas is supplied to the gas pipe 68 while supplying the carrier gas. Shed. At this time, at least one of NH 3 gas, H 2 gas, Ar gas, and N 2 gas is used in the state where the open / close valve 158 is opened and the open / close valve 159 is closed, and the gas pipes 154 and 153 and the gas introduction hole 160 are opened. Is introduced into the gas diffusion space 34.
  • the opening / closing valve 155b is closed and the opening / closing valves 156, 158, 159 are opened. .
  • the susceptor temperature is set to 640 ° C.
  • the temperature of the heater 65 is set to 370 ° C.
  • the surface temperature of the shower head 30 is set to 480 ° C.
  • the wafer is not first carried into the chamber.
  • TiCl 4 gas flow rate 12 mL / min (sccm)
  • H 2 gas flow rate 4000 mL / min (sccm)
  • Ar gas flow rate 1600 mL / min (sccm)
  • a high frequency power was applied to form a Ti film of about 25 nm on the surface (outer surface) of the shower head in 90 seconds.
  • the silicon wafer is carried into the chamber while maintaining the susceptor temperature and the shower head temperature at the same temperature, the pressure in the chamber is maintained at 667 Pa (5 Torr), and TiCl 4 gas, H 2 is generated without generating plasma.
  • a Ti film was formed on the surface of the silicon wafer by flowing gas and Ar gas at the same flow rate as when forming the Ti film on the shower head.
  • the film thickness was 10 nm as measured by X-ray fluorescence analysis (XRF).
  • the film was subjected to X-ray diffraction.
  • the result is shown in FIG.
  • the resistance value Rs of the film was 51 ⁇ / sq, the variation was 8% at 1 ⁇ , and the resistivity was 102 ⁇ ⁇ cm. This result was equivalent to a film using conventional plasma.
  • FIG. 32 is a transmission microscope (TEM) photograph of a cross section showing the film formation state of the contact hole at that time. As shown in this photograph, the film thickness on the interlayer insulating film (Top) is 2 nm, whereas the film thickness in the middle of the contact hole (Middle) is 5 nm (step coverage: 250%), and the bottom of the contact hole (Bottom) The film thickness was 22 nm (step coverage: 1100%), and very good step coverage was obtained.
  • TEM transmission microscope
  • the present invention is not limited to the above embodiment and can be variously modified.
  • the Ti film is formed on the silicon wafer (silicon substrate).
  • the present invention is not limited to this, such as forming the film on the polysilicon formed on the wafer.
  • the substrate to be processed is not limited to a semiconductor wafer, and may be another substrate such as a liquid crystal display (LCD) substrate, a glass substrate, or a ceramic substrate.
  • LCD liquid crystal display

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Electrochemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

 成膜方法は、チャンバ内に被処理基板を配置する工程と、供給経路を通って塩素含有ガスを含む処理ガスをチャンバ内へ供給する工程と、処理ガスの供給経路にTi含有部を配置し、処理ガスをチャンバに供給する際に、処理ガス中の塩素含有ガスをTi含有部に接触させて塩素含有ガスとTi含有部のTiとを反応させる工程と、チャンバ内の被処理基板を加熱しつつ、塩素含有ガスとTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程とを有する。

Description

成膜方法および成膜装置、ならびに記憶媒体
 本発明は、チャンバ内に配置された被処理基板の表面にCVDによりチタン(Ti)膜またはチタンシリサイド(TiSi)膜を成膜する成膜方法および成膜装置、ならびにこのような成膜方法を実施するためのプログラムが記憶された記憶媒体に関する。
 半導体デバイスの製造においては、最近の高密度化および高集積化の要請に対応して、回路構成を多層配線構造にする傾向にあり、このため、下層のSi基板と上層の配線層との接続部であるコンタクトホール、トレンチの電気的接続のための埋め込み技術が重要になっている。
 このようなコンタクトホールやトレンチやビアホールの埋め込みに用いられるW膜等の金属配線(プラグ)と下層のSi基板とのオーミックコンタクトをとるために、これらの埋め込みに先立ってコンタクトホールやビアホールの内側にTi膜を成膜し、場合によってはTiと下地のSiとの反応によりTiSi膜を成膜し、その後バリア膜としてTiN膜を成膜することが行われている。
 このようなTi膜は、従来から物理的蒸着(PVD)を用いて成膜されていたが、デバイスの微細化および高集積化の要求にともなってステップカバレッジ(段差被覆性)がより良好な化学的蒸着(CVD)が多用されるようになってきている。
 Ti膜のCVD成膜に関しては、成膜ガスとしてTiClガス、Hガス、Arガスを用い、これらをシャワーヘッドを介してチャンバへ導入し、半導体ウエハをステージヒーターにより加熱しながら、平行平板電極に高周波電力を印加し、上記ガスをプラズマ化してTiClガスとHガスとを反応させるプラズマCVDによりTi膜を成膜する技術が提案されている(例えば特開2004-197219号公報)。
 ところで、近時、半導体デバイスはますます微細化されているが、従来のCVDによるTi膜成膜においては、プラズマを用いる関係上、半導体ウエハに対する素子破壊等のプラズマダメージが少なからず存在し、半導体デバイスの微細化にともなって、このようなプラズマダメージが無視し得ないものとなってきている。
本発明は、CVDによりTi膜またはTiSi膜を成膜する際に、被処理基板に対するプラズマダメージを生じさせずに成膜することができる成膜方法および成膜装置を提供しようとするものである。
本発明はまた、そのような方法を実行するためのプログラムを記憶した記憶媒体を提供しようとするものである。
 本発明の第1の観点によれば、チャンバ内に被処理基板を配置する工程と、供給経路を通って塩素含有ガスを含む処理ガスを被処理基板が配置された前記チャンバ内へ供給する工程と、前記処理ガスの供給経路にTiを含有するTi含有部を配置し、前記処理ガスを前記チャンバに供給する際に、前記処理ガス中の塩素含有ガスを前記Ti含有部に接触させて前記塩素含有ガスと前記Ti含有部のTiとを反応させる工程と、前記チャンバ内の被処理基板を加熱しつつ、前記塩素含有ガスとTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程とを有する成膜方法が提供される。
 本発明の第2の観点によれば、チャンバ内に被処理基板を配置しない状態で、処理ガスを前記チャンバに導入するためのガス導入機構にTiClガスを含むガスを供給して、前記ガス導入機構にTi膜を形成する工程と、前記チャンバ内に被処理基板を搬入する工程と、塩素含有ガスを含む処理ガスを前記ガス導入機構を介して前記チャンバ内に導入する工程と、前記処理ガスを前記チャンバ内に導入する際に、前記処理ガス中の塩素含有ガスを前記Ti膜に接触させて前記塩素含有ガスと前記Ti膜のTiとを反応させる工程と、前記チャンバ内の被処理基板を加熱しつつ、前記塩素含有ガスと前記Ti膜のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程とを有する成膜方法が提供される。
 本発明の第3の観点によれば、被処理基板を収容するチャンバと、前記チャンバ内で被処理基板を載置する載置台と、前記載置台上の被処理基板を加熱する第1のヒーターと、ガス供給源からガス配管を介して前記チャンバ内に処理ガスを導入するガス導入機構と、前記処理ガスの供給経路に設けられたTiを含有するTi含有部と、前記Ti含有部を加熱可能な第2のヒーターと、前記チャンバ内を排気する排気手段と、前記チャンバ内での処理を制御する制御部とを具備する成膜装置であって、 前記制御部は、前記チャンバ内に被処理基板を搬入させるとともに、前記載置台上に載置させ、塩素含有ガスを含む処理ガスを前記ガス配管およびガス導入機構を介して前記チャンバ内に導入させ、前記処理ガスを前記チャンバ内に導入させる際に、前記処理ガス中の塩素含有ガスを前記Ti含有部に接触させて前記第2のヒーターにより加熱することにより前記塩素含有ガスと前記Ti含有部のTiとを反応させ、前記第1のヒーターにより前記載置台上の被処理基板を加熱させつつ、前記塩素含有ガスと前記Ti含有部のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積させる、成膜装置が提供される。
 本発明の第4の観点によれば、被処理基板を収容するチャンバと、前記チャンバ内で被処理基板を載置する載置台と、前記載置台上の被処理基板を加熱する第1のヒーターと、ガス供給源からガス配管を介して前記チャンバ内に処理ガスを導入するガス導入機構と、前記ガス導入機構を加熱する第2のヒーターと、前記処理ガスのプラズマを生成するプラズマ生成機構と、前記チャンバ内を排気する排気手段と、前記チャンバ内での処理を制御する制御部とを具備する成膜装置であって、前記制御部は、前記チャンバ内に被処理基板を配置しない状態で、前記ガス導入機構にTiClガスを含むガスを供給して、前記ガス導入機構にTi膜を形成させ、前記チャンバ内に被処理基板を搬入させるとともに、前記載置台上に載置させ、塩素含有ガスを含む処理ガスを前記ガス配管およびガス導入機構を介して前記チャンバ内に導入させ、前記処理ガスを前記チャンバ内に導入させる際に、前記処理ガス中の塩素含有ガスを前記Ti膜に接触させて前記第2のヒーターにより加熱することにより前記塩素含有ガスと前記Ti膜のTiとを反応させ、前記第1のヒーターにより前記載置台上の被処理基板を加熱させつつ、前記塩素含有ガスと前記Ti膜のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積させる、成膜装置が提供される。
 本発明の第5の観点によれば、コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、チャンバ内に被処理基板を配置する工程と、供給経路を通って塩素含有ガスを含む処理ガスを被処理基板が配置された前記チャンバ内へ供給する工程と、前記処理ガスの供給経路にTiを含有するTi含有部を配置し、前記処理ガスを前記チャンバに供給する際に、前記処理ガス中の塩素含有ガスを前記Ti含有部に接触させて前記塩素含有ガスと前記Ti含有部のTiとを反応させる工程と、前記チャンバ内の被処理基板を加熱しつつ、前記塩素含有ガスと前記Ti含有部のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程とを有するTi膜の成膜方法が行われるように、コンピュータに前記成膜装置を制御させる、記憶媒体が提供される。
 本発明の第6の観点によれば、コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、チャンバ内に被処理基板を配置しない状態で、処理ガスを前記チャンバに導入するためのガス導入機構にTiClガスを含むガスを供給して、前記ガス導入機構にTi膜を形成する工程と、前記チャンバ内に被処理基板を搬入する工程と、塩素含有ガスを含む処理ガスを前記ガス導入機構を介して前記チャンバ内に導入する工程と、前記処理ガスを前記チャンバ内に導入する際に、前記処理ガス中の塩素含有ガスを前記Ti膜に接触させて前記塩素含有ガスと前記Ti膜のTiとを反応させる工程と、前記チャンバ内の被処理基板を加熱しつつ、前記塩素含有ガスと前記Ti膜のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程とを有する成膜方法が行われるように、コンピュータに前記成膜装置を制御させる、記憶媒体が提供される。
 本発明者らは、上記課題を解決すべく検討を重ねた結果、チャンバ内へのガス供給経路にTi含有部を存在させておき、そのガス供給経路にTiClガスのような塩素含有ガスを含む処理ガスを通流させると、Tiが塩素含有ガスと反応し、TiClガスやTiClガスのようなTi前駆体ガスを生成すること、およびそのTi前駆体ガスはプラズマによらず熱反応によりTiを生成することを見出した。上記構成の本発明は、本発明者らのこのような知見に基づいて完成されたものである。
本発明に係るTi膜の成膜方法を示すフローチャート。 本発明に係るTi膜の成膜方法の原理を説明するための概念図。 本発明に係るTi膜の成膜方法の原理を説明するための概念図。 本発明に係るTi膜の成膜方法の原理を説明するための概念図。 Ti塩化物の蒸気圧曲線を示す図である。 TiCl生成反応にともなうTiのエッチングレートの温度依存性を示す図である。 ウエハのSi部分の上にTiを堆積した際のTiSi膜の膜厚の温度依存性を示す図である。 本発明に係るTi膜の成膜方法の実施に用いるウエハの構造例を示す断面図である。 図3のウエハのコンタクトホールの底部にコンタクト層を形成した状態を模式的に示す断面図である。 本発明に係るTi膜の成膜方法を用いてコンタクトホールにTi膜を成膜する際の状況を模式的に示す図である。 従来のプラズマを用いたTi膜成膜を行った際のコンタクトホールにおける膜の形成状態を模式的に示す断面図である。 本発明に係るTi膜の成膜方法を用いてTi膜成膜を行った際のコンタクトホールにおける膜の形成状態を模式的に示す断面図である。 本発明の具体的な実施形態に用いる成膜装置の概略構成を示す断面図である。 図11の成膜装置において実施されるTi膜の成膜方法の具体的な実施形態を説明するためのフローチャートである。 シャワーヘッドにTi膜を形成した状態を示す断面図である。 シャワーヘッドに形成されたTi膜にTiClガスを接触した状態を模式的に示す断面図である。 図11の成膜装置において実施されるTi膜の成膜方法の具体的な実施形態を説明するためのフローチャートである。 図11の成膜装置において実施されるTi膜の成膜方法の具体的な実施形態を説明するためのフローチャートである。 図11の成膜装置において実施されるTi膜の成膜方法の具体的な実施形態を説明するためのフローチャートである。 図11の成膜装置において実施されるTi膜の成膜方法の具体的な実施形態を説明するためのフローチャートである。 図11の成膜装置において実施されるTi膜の成膜方法の具体的な実施形態を説明するためのフローチャートである。 図11の成膜装置において実施されるTi膜の成膜方法の具体的な実施形態を説明するためのフローチャートである。 他のプラズマ生成機構を用いて、シャワーヘッドの内面にTi膜を形成する手法を示す断面図である。 他のプラズマ生成機構を用いて、シャワーヘッドの内面にTi膜を形成する手法を示す断面図である。 パッシェンの法則を示す図である。 高周波電源により、ガス拡散空間内へのプラズマ生成と、チャンバ内へのプラズマ生成と選択的に行えるようにした成膜装置の要部を示す断面図である。 さらに他のプラズマ生成機構を用いて、シャワーヘッドの内面にTi膜を形成する手法を示す断面図である。 シャワーヘッドにTi膜を形成する代わりに、シャワーヘッドのガス拡散空間へのガス導入部分にTi含有部材を配置した例を示す断面図である。 図25のTi含有部材を示す斜視図である。 図25のTi含有部材を設けた場合のガス供給配管の好ましい例を示す図である。 シャワーヘッドにTi膜を形成する代わりに、ガス配管にTi含有部材を配置した例を示す断面図である。 図28のTi含有部材を示す一部断面で示す斜視図である。 図28のTi含有部材を設けた場合のガス供給配管の好ましい例を示す図である。 本発明を検証した実験において成膜された膜のX線回折プロファイルを示す図である。 本発明を検証した実験においてコンタクトホールに成膜された膜の断面の透過型顕微鏡(TEM)写真である。
 以下、添付図面を参照して本発明の実施形態について具体的に説明する。
 以下の説明において、ガスの流量の単位はmL/minを用いているが、ガスは温度および気圧により体積が大きく変化するため、本発明では標準状態に換算した値を用いている。なお、標準状態に換算した流量は通常sccm(Standerd Cubic Centimeter per Minutes)で標記されるためsccmを併記している。ここにおける標準状態は、温度0℃(273.15K)、気圧1atm(101325Pa)の状態である。
 図1は本発明に係る成膜方法を示すフローチャート、図2A~2Cは本発明に係る成膜方法の原理を説明するための概念図である。
 まず、図2Aに示すように、チャンバ1内の所定位置に被処理基板としての半導体ウエハ(以下、単にウエハと記す)Wを配置する(工程1)。
 次いで、図2Bに示すように、チャンバ1内を排気して真空に保持しつつ、供給経路3を通って塩素含有ガス、例えばTiClを含む処理ガスをチャンバ1内に供給する(工程2)。
 供給経路3には、Tiを含有するTi含有部2が設けられており、供給経路3を通流する塩素含有ガス(TiClガス)を、Ti含有部2に接触させ、Ti含有部2のTiと反応させる(工程3)。つまり、塩素含有ガスによりTi含有部2のTiをエッチングする。
 塩素含有ガスとしては、TiClガスの他、Clガス、HClガスを用いることができる。ただし、従来からTi成膜原料として用いているTiClを用いることが好ましい。
 次いで、図2Cに示すように、工程3の塩素含有ガスとTi含有部2のTiとの反応により生じたTi前駆体(プリカーサー)ガスを所定温度に加熱されたウエハW上に供給し、熱反応によりTiを生成させ、ウエハW上にTiを堆積させる(工程4)。
 堆積されたTiはそのままTi膜となるか、または下地がSi(Si基板またはポリシリコン)であって所定の条件下ではSiとの反応によりTiSi膜となる。
 塩素含有ガスとTiとの反応は200~800℃の範囲で生じさせることができる。反応を有効に生じさせる観点から、この際の温度は250℃以上がより好ましく、また、反応スピードの観点から、600℃以下であることが好ましい。
 塩素含有ガスとTiとの反応により生ずるTi前駆体ガスとしては、TiClガスおよびTiClガスを挙げることができる。
 塩素含有ガスとしてTiClガスを用いた場合には、以下の(1)式によりTi前駆体ガスとしてTiClガスを生成させることができる。
 Ti+3TiCl → 4TiCl  ・・・(1)
 また、以下の(2)式によりTi前駆体ガスとしてTiClガスを生成させることもできる。
 Ti+TiCl → 2TiCl   ・・・(2)
 図3は、Ti塩化物の蒸気圧曲線を示す図である。この図に示すようになり、Clの配位数が少なくなるほど蒸気圧が低く、したがってTiClよりもTiClのほうが蒸気圧が高く、TiClの蒸気圧は、従来のCVD-Ti膜成膜時のTiCl分圧と同程度である。また、融点はTiClが1035℃であるのに対してTiClでは425℃であり、TiClのほうが融点が低い。したがって、TiClはTiClに比較してガス化しやすく、ウエハWに対して気相供給しやすいという利点があるので、TiClのほうが好ましい。
 前記(1)式のTiCl生成反応は、425~500℃の範囲で生じさせることが好ましい。500℃を超えると以下の(3)に示すように、TiClがTiClとTiClに熱分解してしまい、425℃未満ではTiClの融点より低くなりTiClガスが生じにくくなるからである。
 2TiCl → TiCl+TiCl ・・・(3)
 TiCl生成反応の温度依存性は、図4のようになる。図4は、横軸に絶対温度Tの逆数×1000の値をとり、縦軸に反応の速度(エッチングレート)Rの対数をとって、各温度でのエッチングレートをアレニウスプロットしたものである。この図に示すように、500℃から400℃付近までは直線となり一定の活性化エネルギーEa(=+0.76eV)を示すが、温度が400℃付近より低下するとエッチングレートが低下していることがわかる。
 反応温度は、例えばTi含有部2を加熱し、所望の反応温度に温度制御することにより確保することができる。
 反応温度が500℃を超えた場合には、上記(2)式に従って、Ti前駆体としてTiClを生成することができる。上記(2)式は、上記(1)式と(3)式の複合反応である。具体的には、TiClとTiとの反応で生じたTiClが熱分解によりTiClとなる反応である。
 Ti前駆体をウエハW上に供給し、熱反応によりTiを生成させる際には、ウエハWの温度は200~800℃の範囲とすることができ、好ましくは350~700℃である。
 また、Ti前駆体をウエハW上に供給し、熱反応によりTiを生成させる反応を生じさせる際には、ウエハW上にTiClを吸着させることが好ましい。TiClはSiに吸着し難く、しかもClが脱離し難いため、TiClをそのままウエハWに吸着させて熱分解によりTiを生成させるのは困難であるが、TiClは量子化学計算上、TiClよりもSiに吸着しやすく、またClの配位数も少ないため、Clの脱離がより容易となるからである。また、TiClはTiClよりもSiと反応しやすくTiSiを生成しやすいという利点もある。このような観点から、Tiを生成させる際のウエハWの温度は、TiClが分解してTiClとなる500℃超であることが好ましい。すなわち、500℃を超えると、ウエハW上にTiClガスを供給した場合でも、TiClの分解が生じてTiClが吸着するようになる。もちろんTiClガスが供給された場合には、そのままTiClガスが吸着する。より好ましくは、500℃超~650℃である。
 図5は、ウエハのSi部分の上にTiを堆積した際のTiSi膜の膜厚の温度依存性を示す図である。この図に示すように、ウエハ温度が500℃近傍以下でTiSi膜の膜厚が急激に低下することがわかる。逆に、600℃を超えると膜厚が上昇している。
 従来、Ti膜成膜の際には、成膜原料としてTiClを用いていたが、TiClの結合エネルギーは17.32eVと高くこれを分解してTiを生成するためにはプラズマが必要であった。これに対して、TiClやTiClの結合エネルギーの絶対値はTiClの結合エネルギーの絶対値よりも小さく、TiClで9.42eVであるため、TiClをプリカーサーとする際に必要であったプラズマを用いることなく、熱反応によりTiを生成することができる。このため、ウエハWに対してプラズマダメージを生じさせることなく、Ti膜またはTiSi膜を成膜することができる。
 チャンバ1内に供給する処理ガスは、塩素含有ガス単独であってもよいし、さらに反応促進のためのガスやキャリアガス等の他のガスを加えたものであってもよい。例えば、塩素含有ガスとしてTiClを用いた場合には、反応促進ガスとしてHガスを加えてもよいし、また、キャリアガスとして不活性ガス、例えばArガスを加えてもよいし、さらに、TiClガスにHガスおよびキャリアガスの両方を加えてもよい。Hガスを加えることにより、ウエハWに吸着させたTiClのClをより低エネルギーで脱離することができ、Ti膜の成膜を促進する。また、Hガスを加えることにより、TiClが生成し、これによって結合エネルギーの絶対値をTiClに比べより小さくすることができ、低エネルギーでTi膜の成膜を促進する。
 Ti含有部2は、TiClガス等の塩素含有ガスが接触することによりTiClガスやTiClガス等のTi前駆体ガスが生じる限り、供給経路3のどのような位置に配置されていてもよい。例えば、塩素含有ガスを供給する配管や、塩素含有ガスをチャンバ1内に導入するガス導入機構、例えばシャワーヘッドに配置することもできる。そして、Ti含有部2の形態も、膜状であってもバルク状であっても構わない。また、Ti含有部分2は典型的にはTi単体で構成されるが、TiClガスやTiClガス等のTi前駆体ガスが生じる限り、他の物質との混合体や化合物で構成されていてもよい。
 ウエハWとしては、例えば、図6に示すように、Si基板10上に層間絶縁膜11が形成され、層間絶縁膜11にSi基板10の不純物拡散領域10aに達するコンタクトホール12が形成された構造を有するものを用いることができる。このような構造のウエハWにTi膜13を成膜することにより、図7に示すように、コンタクトホール12の底部にTiと下地のSiとが反応してTiSi、例えばTiSiからなるコンタクト層14が形成される。
 この成膜においては、塩素含有ガスとしてTiClを用いた場合に、図8に示すように、Ti前駆体としてのTiClがウエハW近傍で熱分解して生成したTiClと、未反応のTiClがウエハW上に到達することとなるため、層間絶縁膜11の上面では、TiClが熱分解してTiが形成されてもそのTiがTiClによりエッチングされる。このため、従来のプラズマを用いる成膜方法に比べて薄いTi膜が成膜される。しかしながら、コンタクトホール12の内部では、TiClは徐々に消費されていくため、コンタクトホール12の底部に向かうにつれてTiClが少なくなり、TiClが多くなって、コンタクトホール12の底部では従来のプラズマを用いた成膜と同等の厚さのTiSi膜が形成される。コンタクトホール12の側壁では、TiClによるTiのエッチングは生じるが、TiClの数が少ないため、エッチングの程度は層間絶縁膜11の上面よりも小さく、上面よりも厚いTi膜が成膜される。従来のプラズマを用いたTi膜の成膜においては、コンタクトホールの側壁には成膜されにくいことから、コンタクトホール12の側壁では、従来よりも厚いTi膜が成膜される。すなわち、従来のTi膜成膜よりも良好なステップカバレッジでTi膜を成膜することができる。
 なお、形成する膜がTiSi膜ではなくTi膜の場合には、成膜後、従来のTi膜成膜プロセスと同様、Ti膜の酸化防止や膜剥がれ防止等の観点から、成膜されたTi膜に対して窒化処理を行ってもよい。
 従来のプラズマを用いたTi膜成膜においては、コンタクトホールの側壁のTi膜が薄くなる傾向にあり、条件によっては、図9に示すように、コンタクトホール側壁にTi膜が成膜されないことがある。このような場合には、層間絶縁膜11の上面のTi膜13とコンタクトホール12の底部のTiSi膜からなるコンタクト層14とが絶縁されるため、コンタクトホール12内には電子が入り込まず、プラズマ中のイオンの電荷がコンタクトホール12の底部にたまり、電子シェーディング効果(プラズマダメージ)により素子が破壊されるおそれがある。
 これに対して、TiとTiCl等の塩素含有との反応で生成したTi前駆体の熱反応によるTi膜の成膜では、図10に示すように、コンタクトホール12の側壁にTi膜13が形成されるため、層間絶縁膜11の上面のTi膜13とコンタクトホール12の底部のTiSi膜からなるコンタクト層14とは導通されている。このため、その後にプラズマを生成しても、コンタクトホール12の底部に電子が流れ、その部分のイオンの電荷は消滅し、プラズマダメージは生じ難い。
このようなことから、途中までTi前駆体の熱反応によるTi膜の一部の成膜を行った後、プラズマを用いて成膜を行うことが可能となる。これにより、プラズマダメージを生じさせずに成膜を促進することができる。熱によるTi膜の成膜と、プラズマによる成膜とを繰り返してもよい。
 次に、本発明の具体的な実施形態について説明する。
 以下の実施形態においては、従来用いられていたTi膜の成膜装置を用いて本発明を実施する例について説明する。
 図11は、本発明の具体的な実施形態に用いる成膜装置の概略構成を示す断面図である。この成膜装置100は、略円筒状のチャンバ21を有している。チャンバ21の内部には、被処理基板であるSiウエハWを水平に支持するための載置台(ステージ)であるAlNで構成されたサセプタ22がその中央下部に設けられた円筒状の支持部材23により支持された状態で配置されている。サセプタ22の外縁部にはウエハWをガイドするためのガイドリング24が設けられている。また、サセプタ22にはモリブデン等の高融点金属で構成されたヒーター25が埋め込まれており、このヒーター25はヒーター電源26から給電されることにより被処理基板であるウエハWを所定の温度に加熱する。サセプタ22の表面近傍には平行平板電極の下部電極として機能する電極28が埋設されており、この電極28は接地されている。
 チャンバ21の天壁21aには、絶縁部材29を介して平行平板電極の上部電極としても機能するプリミックスタイプのシャワーヘッド30がガス配管を介してガスを導入するガス導入機構として設けられている。シャワーヘッド30は、ベース部材31とシャワープレート32とを有しており、シャワープレート32の外周部は、貼り付き防止用の円環状をなす中間部材33を介してベース部材31に図示しないネジにより固定されている。シャワープレート32はフランジ状をなし、その内部に凹部が形成されており、ベース部材31とシャワープレート32との間にガス拡散空間34が形成されている。ベース部材31はその外周にフランジ部31aが形成されており、このフランジ部31aが絶縁部材29に支持されている。シャワープレート32には複数のガス吐出孔35が形成されており、ベース部材31の中央付近には一つのガス導入孔36が形成されている。
 そして、上記ガス導入孔36は、ガス供給機構40のガス配管に接続されている。
 ガス供給機構40は、クリーニングガスであるClFガスを供給するClFガス供給源41、Ti化合物ガスであるTiClガスを供給するTiClガス供給源42、Arガスを供給するArガス供給源43、還元ガスであるHガスを供給するHガス供給源44、窒化ガスであるNHガスを供給するNHガス供給源45、Nガスを供給するNガス供給源46を有している。そして、ClFガス供給源41にはClFガス供給ライン47および50bが、TiClガス供給源42にはTiClガス供給ライン48が、Arガス供給源43にはArガス供給ライン49が、Hガス供給源44にはHガス供給ライン50が、NHガス供給源45にはNHガス供給ライン50a、Nガス供給源46にはNガス供給ライン50cが、それぞれ接続されている。そして、各ガスラインにはマスフローコントローラ52およびマスフローコントローラ52を挟んで2つのバルブ51が設けられている。
 TiClガス供給源42から延びるTiClガス供給ライン48にはClFガス供給源41から延びるClFガス供給ライン47およびArガス供給源43から延びるArガス供給ライン49が接続されている。また、Hガス供給源44から延びるHガス供給ライン50には、NHガス供給源45から延びるNHガス供給ライン50a、Nガス供給源46から延びるNガス供給ライン50cおよびClFガス供給源41から延びるClFガス供給ライン50bが接続されている。TiClガス供給ライン48およびHガス供給ライン50はガス混合部67に接続され、そこで混合された混合ガスがガス配管68を介して上記ガス導入孔36に接続されている。そして、混合ガスは、ガス導入孔36を経てガス拡散空間34に至り、シャワープレート32のガス吐出孔35を通ってチャンバ21内のウエハWに向けて吐出される。なお、TiClガス供給ライン48およびHガス供給ライン50のガス混合部67の上流側には、それぞれバルブ75および76が設けられている。
 シャワーヘッド30には、整合器53を介して高周波電源54が接続されており、この高周波電源54からシャワーヘッド30に高周波電力が供給されるようになっている。高周波電源54から高周波電力を供給することにより、シャワーヘッド30を介してチャンバ21内に供給されたガスをプラズマ化して成膜処理を行う。
 また、シャワーヘッド30のベース部材31には、シャワーヘッド30を加熱するためのヒーター65が設けられている。このヒーター65にはヒーター電源66が接続されており、ヒーター電源66からヒーター65に給電することによりシャワーヘッド30が所望の温度に加熱される。ベース部材31の上部に形成された凹部にはヒーター65による加熱効率を上げるために断熱部材69が設けられている。
 チャンバ21の底壁21bの中央部には円形の穴55が形成されており、底壁21bにはこの穴55を覆うように下方に向けて突出する排気室56が設けられている。排気室56の側面には排気管57が接続されており、この排気管57には排気装置58が接続されている。そしてこの排気装置58を作動させることによりチャンバ21内を所定の真空度まで減圧することが可能となっている。
 サセプタ22には、ウエハWを支持して昇降させるための3本(2本のみ図示)のウエハ支持ピン59がサセプタ22の表面に対して突没可能に設けられ、これらウエハ支持ピン59は支持板60に支持されている。そして、ウエハ支持ピン59は、エアシリンダ等の駆動機構61により支持板60を介して昇降される。
 チャンバ21の側壁には、チャンバ21と隣接して設けられた図示しないウエハ搬送室との間でウエハWの搬入出を行うための搬入出口62と、この搬入出口62を開閉するゲートバルブ63とが設けられている。
 チャンバ21の壁部、排気室56の壁部、排気管57、およびゲートバルブ63には、それぞれヒーター81、82、83および84が埋設されている。これらヒーターにはヒーター電源85が接続され、ヒーター電源85からこれらヒーターに給電することにより、チャンバ21の壁部、排気室56の壁部、排気管57、およびゲートバルブ63が所定の温度に加熱されるようになっている。
 成膜装置100の構成部であるヒーター電源26および66、バルブ51、マスフローコントローラ52、整合器53、高周波電源54、駆動機構61等は、マイクロプロセッサ(コンピュータ)を備えた制御部70に接続されて制御される構成となっている。また、制御部70には、オペレータが成膜装置100を管理するためにコマンドの入力操作等を行うキーボードやタッチパネル、成膜装置100の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース71が接続されている。さらに、制御部70には、成膜装置100で実行される各種処理を制御部70の制御にて実現するためのプログラムや、処理条件に応じて成膜装置100の各構成部に処理を実行させるためのプログラムすなわち処理レシピが格納された記憶部72が接続されている。処理レシピは記憶部72中の記憶媒体72aに記憶されている。記憶媒体はハードディスク等の固定的なものであってもよいし、CDROM、DVD等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。そして、必要に応じて、ユーザーインターフェース71からの指示等にて任意の処理レシピを記憶部72から呼び出して制御部70に実行させることで、制御部70の制御下で、成膜装置100での所望の処理が行われる。
 次に、以上のような成膜装置100における本実施形態に係るTi膜の成膜方法について図12のフローチャートを参照しながら説明する。
 まず、ウエハWを搬入するに先立って、チャンバ21内にウエハWが存在しない状態で、シャワーヘッド30に対するTi膜成膜処理を行う(工程11)。このシャワーヘッド30へのTi膜成膜処理は、ウエハWへのTi膜成膜の際に用いられるTi含有部を形成するための処理であり、従来のプラズマを用いたTi膜成膜と同様の条件で行われる。
 すなわち、チャンバ21内を真空に保持した状態で、シャワーヘッド30を介してTiClガス、Hガス、およびキャリアガスとしてのArガスを導入しつつ、高周波電源54からシャワーヘッド30に高周波電力を印加することにより、これらガスをプラズマ化し、図13に示すように、シャワーヘッド30の表面(外面)にTi膜101を成膜する。このときのシャワーヘッド30の温度は、200~620℃の範囲、好ましくは400~620の範囲、例えば480℃とする。この際に、シャワーヘッド30に成膜されるTi膜の膜厚はシャワーヘッド30の温度によって大きく変化するから、Ti膜の膜厚が大きく変化しないように30℃の範囲内、例えば450~480℃の範囲内になるように制御することが好ましい。なお、ここでのシャワーヘッド温度は、シャワーヘッド30の表面の温度であり、この温度はヒーター65の設定温度を調整することにより制御される。
 工程11における他の条件の好ましい範囲は、以下の通りである。
 i)高周波電源54からの高周波電力
  周波数:300kHz~27MHz
  パワー:100~1500W
 ii)TiClガス流量
300mmウエハ:1~100mL/min(sccm)、好ましくは4~50mL/min(sccm)
  単位面積あたり:1.415×10-5~1.415×10-3mL/min/mm(sccm/mm)、好ましくは5.66×10-5~7.075×10-4mL/min/mm(sccm/mm
 iii)Arガス流量
300mmウエハ:100~2000mL/min(sccm)、好ましくは500~1800mL/min(sccm)
単位面積あたり:1.415×10-3~2.831×10-2mL/min/mm(sccm/mm)、好ましくは7.077×10-3~2.547×10-2mL/min/mm(sccm/mm
 iv)Hガス流量
300mmウエハ:250~5000mL/min(sccm)、好ましくは 2000~5000mL/min(sccm)
単位面積あたり:3.539×10-3~7.077×10-2mL/min/mm(sccm/mm)、好ましくは2.831×10-2~7.077×10-2mL/min/mm(sccm/mm
 v)チャンバ内圧力:400~1333Pa(3~10Torr)、好ましくは400~1067Pa(3~8Torr)
 なお、成膜時間は成膜しようとする膜厚に応じて適宜設定すればよい。例えば、成膜時間15~90sec程度で、4~20nm程度の膜厚が得られる。
 次いで、高周波電源54をオフにしてプラズマを停止するとともに、ガスの供給を停止して、チャンバ21内をパージした後、ゲートバルブ63を開いて図示しない搬送機構によりウエハWをチャンバ21内に搬入し、サセプタ22上に載置する(工程12)。ウエハWとしては、例えば、上述した図3に示すように、Si基板10上に層間絶縁膜11が形成され、層間絶縁膜11にSi基板10の不純物拡散領域10aに達するコンタクトホール12が形成された構造を有するものを用いる。
 次に、プラズマを用いずに熱によりウエハW表面にTiを堆積する(工程13)。
 この工程13では、まず、チャンバ21内を所定の圧力にした状態で、チャンバ21内にシャワーヘッド30を介して塩素含有ガスとしてのTiClガス、反応促進ガスとしてのHガス、キャリアガスとしてのArガスを導入する(工程13-1)。
 これらガスは、シャワープレート32に設けられたガス吐出孔35からチャンバ21内に吐出されるが、シャワーヘッド30の表面(外面)にはTi含有部としてのTi膜101が形成されており、これらガスをガス吐出孔35から吐出する際に、図14に示すように、塩素含有ガスとしてのTiClガスをTi膜101に接触させ、TiClガスとTiとを反応させる(工程13-2)。すなわち、Ti含有部であるTi膜101がTiClガスの供給経路に形成されているため、TiClガスをチャンバ21内に供給する際にTiClガスがTi膜101に接触して、TiClガスとTi膜101とが反応する。
 この反応は、200~800℃の範囲で生じさせることができ、400~600℃の範囲が好ましい。したがって、シャワーヘッド30の温度をこの範囲の温度に加熱制御することが好ましい。
 この反応によりTi前駆体ガスが生じる。本実施形態のように、塩素含有ガスとしてTiClガスを用いる場合には、Ti前駆体ガスとして、前述のように、(1)または(2)の反応により、TiClガスまたはTiClガスが生成する。上述したように、TiClはTiClに比較してガス化しやすく、ウエハWに対して気相供給しやすいという利点があるので、TiClのほうが好ましく、そのためには、ヒーター65により、上記(1)式のTiCl生成反応にとって好ましい温度である425~500℃の範囲にシャワーヘッド30を加熱することが好ましい。Ti前駆体としてTiClを生成する場合には、シャワーヘッド30を500℃超に加熱すればよい。
 この際のTiClとの反応によるTi膜101のエッチングレートはシャワーヘッド30の温度によって大きく変化するから、エッチングレートが大きく変化しないようにシャワーヘッド30の温度を30℃の範囲内、例えば450~480℃の範囲内になるように制御することが好ましい。なお、ここでのシャワーヘッド温度もシャワーヘッド30の表面の温度である。
 シャワーヘッド30の温度は、工程11のTi膜101を成膜の際と、工程13-2のTiClガスとTiとを反応させる際とで、同じ温度とすることが好ましく、工程13-2の際の好ましい温度範囲である425~500℃の範囲の同じ温度でシャワーヘッド30を制御することが好ましい。
 TiClガスとTi膜101との反応により生成されたTi前駆体ガスを所定温度に加熱されたウエハW上に供給し、熱反応によりTiを生成させ、ウエハW上にTiを堆積させる(工程13-3)。このとき、サセプタ22内のヒーター25によりウエハWを所定温度に加熱しておくことにより、ウエハW上に到達したTi前駆体から熱反応によりClが脱離し、プラズマを用いることなくTiが生成され、ウエハW上にTi膜が形成される(工程13-3)。堆積されたTiはそのままTi膜となるか、または下地がSi(Si基板またはポリシリコン)であって所定の条件下ではSiとの反応によりTiSi膜となる。
 この際のウエハWの温度は、上述したように、200~800℃の範囲とすることができ、好ましくは350~700℃である。
 上述したように、熱反応によりTiを生成する際には、TiClよりもSiに吸着しやすく、Clが脱離しやすく、Siとの反応性も良好なTiClをウエハW上に吸着させて行うことが好ましい。このような観点からは、ウエハWの温度をTiClが生成しやすい500℃超にすることが好ましい。500℃を超えると、ウエハW上にTiClガスを供給した場合でも、TiClの分解が生じてTiClが吸着するようになる。より好ましくは、500℃超~650℃である。なお、通常、ウエハ温度は直接測定できないため、サセプタ温度を測定し、その値からウエハ温度を把握する。サセプタ温度とウエハ温度との関係はチャンバ内の圧力によっても異なるが、ウエハ温度はサセプタ温度よりも5~50℃程度低い。
 以上より、工程13では、シャワーヘッド30の温度を425~500℃に設定して、Ti前駆体ガスをTiClガスを主体とするものとし、ウエハWの温度を500℃超として、ウエハWに供給されたTiClガスをTiClガスに分解してウエハW上に吸着させ、熱反応によりTiClからClを脱離させてウエハW上にTiを生成するようにすることが好ましい。
 このようにしてTiを生成させる際に、Ti前駆体ガスとともにHガスが供給されることが好ましい。これにより、ウエハWに吸着したTiClガスのClを、以下の(4)式に示す反応によりHClとして離脱させることができるので、低エネルギーでTiを生成させることができ、Tiの堆積が促進される。
  TiCl+H → Ti+2HCl  ・・・(4)
 工程13における他の条件の好ましい範囲は、以下の通りである。
 i)TiClガス流量
300mmウエハ:1~100mL/min(sccm)、好ましくは4~50mL/min(sccm)
単位面積あたり:1.415×10-5~1.415×10-3mL/min/mm(sccm/mm)、好ましくは 5.66×10-5~7.075×10-4mL/min/mm(sccm/mm
 iii)Arガス流量
300mmウエハ:100~2000mL/min(sccm)、好ましくは500~1800mL/min(sccm)
単位面積あたり:1.415×10-3~2.831×10-2mL/min/mm(sccm/mm)、好ましくは7.077×10-3~2.547×10-2mL/min/mm(sccm/mm
 iv)Hガス流量
300mmウエハ:250~5000mL/min(sccm)、好ましくは2000~5000mL/min(sccm)
単位面積あたり:3.539×10-3~7.077×10-2mL/min/mm(sccm/mm)、好ましくは2.831×10-2~7.077×10-2mL/min/mm(sccm/mm
 v)チャンバ内圧力:1.33~1333Pa(0.1~10Torr)、好ましくは400~1067Pa(3~8Torr)
 なお、成膜時間は成膜しようとする膜厚に応じて適宜設定すればよい。例えば、Ti膜の膜厚は、1~10nm程度であり、その際の成膜時間は1~90sec程度である。
 このようにしてウエハW表面にTi膜またはTiSi膜を成膜した後、ガスの供給を停止して、チャンバ21内をパージした後、ゲートバルブ63を開いて図示しない搬送機構によりウエハWをチャンバ21から搬出する(工程14)。
 次に、チャンバ21内にウエハWが存在しない状態で、チャンバ21内の窒化処理を行う(工程15)。この窒化処理は、シャワーヘッド30表面およびサセプタ22表面に成膜されたTi膜が剥がれてパーティクルになることを防止するために行われる。
 この窒化処理では、チャンバ21内(チャンバ壁やシャワーヘッド表面等)を適宜の温度に加熱しつつ、窒化ガスとしてNHガスをHガスおよびArガスとともに流し、高周波電源54からシャワーヘッド30に高周波電力を印加して処理ガスをプラズマ化し、プラズマ化した処理ガスによりチャンバ21の内壁やシャワーヘッド30表面、サセプタ22表面に成膜されたTi膜の表面を窒化する。
 窒化処理の好ましい条件は、以下の通りである。
 i)高周波電源54からの高周波電力
  周波数:300kHz~27MHz
  パワー:100~1500W
 ii)NHガス流量
300mmウエハ:100~2000mL/min(sccm)
単位面積あたり:1.415×10-3~2.831×10-2mL/min/mm(sccm/mm
 iii)Arガス流量
300mmウエハ:100~2000mL/min(sccm)
単位面積あたり:1.415×10-3~2.831×10-2mL/min/mm(sccm/mm
 iv)Hガス流量
300mmウエハ:250~5000mL/min(sccm)
単位面積あたり:3.539×10-3~7.077×10-2mL/min/mm(sccm/mm
 v)チャンバ内圧力:400~1333Pa(3~10Torr)
 vi)シャワーヘッド温度:250~600℃
 vii)サセプタ温度:350~700℃
 窒化処理はプラズマを用いずに行うこともできる。その際の好ましい条件は以下の通りである。
 i)NHガス流量
300mmウエハ:100~2000mL/min(sccm)
単位面積あたり:1.415×10-3~2.831×10-2mL/min/mm(sccm/mm
 ii)Arガス流量
300mmウエハ:100~2000mL/min(sccm)
単位面積あたり:1.415×10-3~2.831×10-2mL/min/mm(sccm/mm
 iii)Hガス流量
300mmウエハ:250~5000mL/min(sccm)
単位面積あたり:3.539×10-3~7.077×10-2mL/min/mm(sccm/mm
 iv)チャンバ内圧力:1.33~1333Pa(0.1~10Torr)
 vi)シャワーヘッド温度:250~600℃
 vii)サセプタ温度:350~700℃
 以上により1枚のウエハに対するTi膜成膜のための一連の工程が終了する。そして、複数のウエハWに対してこれら工程11~15を繰り返す。所定枚数のウエハWに対してTi膜成膜を行った後、チャンバ21にウエハWが存在しない状態で、チャンバ21内にクリーニングガスであるClFガスを導入してチャンバ21内のクリーニングを行う。クリーニング後、チャンバ21内を例えばTiN膜でプリコートし、上記ウエハ処理工程を繰り返す。
 本実施形態によれば、サセプタ22上のウエハWに対し、シャワーヘッド30の表面に形成されたTi膜101に塩素含有ガスとしてのTiClを接触させてこれらを反応させ、これにより生成したTi前駆体としてTiClガスやTiClガスを用いるので、TiClをプリカーサーとする場合と比較して低エネルギーでClを脱離させてTiを生成することができる。したがって、プラズマを用いることなく熱反応のみでTiを堆積することができ、ウエハWに対してプラズマダメージを生じさせることなくTi膜またはTiSi膜を成膜することができる。
 また、シャワーヘッド30の表面に既存のTi膜の成膜方法と同様の方法でTi膜を成膜し、シャワーヘッド30表面のTi膜と従来から成膜ガスとして用いているTiClとを反応させることによりTi前駆体を生成してウエハW上にTiを堆積するので、既存の装置および従来と同様のガスを用いてプラズマレスのTi膜成膜またはTiSi膜成膜を実現することができる。
 形成する膜がTiSi膜ではなくTi膜の場合には、上記工程13のTi堆積処理の後、上述したように、得られたTi膜の酸化防止および膜剥がれ防止等の観点から、成膜された膜に対して窒化処理を行ってもよい。このときの成膜フローは図15のフローチャートに示すようになる。すなわち、上述のようにして工程11~13を行った後、Ti膜またはTiSi膜の窒化処理(工程16)を行い、工程14のウエハWの搬出を行う。このように成膜の後に窒化処理を施した場合には、上記工程15のチャンバ21内の窒化処理は不要である。このときの工程16の窒化処理は、上記工程15のシャワーヘッド30等の窒化処理と同様の条件で行うことができる。
 また、上述したように、本実施形態の成膜方法の場合には、コンタクトホールに対して良好なステップカバレッジでTi膜を堆積することができ、コンタクトホールの側壁にも十分にTi膜が形成されるので、上記工程13により所定時間ウエハW表面にTi膜の成膜を行った後であれば、プラズマを生成してもシェーディング効果によるプラズマダメージが生じない。このため、図16のフローチャートに示すように、工程13により側壁にコンタクトホール底部への導通を確保できる程度の厚さにTiを堆積した後、高周波電源54から高周波電力をシャワーヘッド30に印加してチャンバ21内に生成したプラズマによりTiを堆積する工程(工程17)を行うようにすることができる。これにより、成膜反応を促進させて、成膜時間を短縮させることができる。このときの高周波電力のパワーは100~1500Wであることが好ましい。
 また、図17のフローチャートに示すように、このような工程17の後に工程16の窒化処理を行ってもよい。
 さらに、図18のフローチャートに示すように、工程17のプラズマによるTiの堆積の後、工程13と同様の条件で、プラズマを用いず熱によりTiを堆積することもできる(工程18)。これは、工程17のようにプラズマによりTiを堆積する際にシャワーヘッド30の表面にTi膜が付着するため、プラズマレスでのTi堆積が可能になるからである。工程17と工程18は、複数回繰り返してもよい。
 図19、図20のフローチャートでは、図18の工程18の後に工程16のTi膜の窒化処理を行う例を示している。図19のように工程17、工程18および工程16は、複数回繰り返してもよい。また、図20のように工程17および工程18のみを複数回繰り返してもよい。もちろん、これらの繰り返しは行わなくてもよい。
 上記工程11においては、シャワーヘッド30の外側の表面にTi膜を形成したが、この場合には、シャワーヘッド30とサセプタ22中の電極28との間に高周波電界を形成してチャンバ21内にプラズマを生成する関係上、サセプタ22上にもTi膜が成膜されるという不都合がある。これを回避するためには、例えば、図21Aに示すように、ベースプレート31とシャワープレート32との間に絶縁部材110を配置し、高周波電源54からベース部材31に高周波電力を印加した際に、ベースプレート31とシャワープレート32との間に高周波電界が形成されるようにし、ガス拡散空間34にプラズマが生成されるようにすることが好ましい。これにより、図21Bに示すように、シャワーヘッド30の内面にTi膜102を形成することができ、サセプタ22へTi膜が成膜されることを回避することができる。また、このようにシャワーヘッド30の内面に形成されたTi膜102は、TiClガスの供給経路に存在しているため、TiClガスがTi膜102に接触して反応する。これによりTi前駆体ガスが生成し、プラズマを用いずにウエハW表面にTi膜を成膜することができる。
 この場合に、シャワーヘッド30のガス拡散空間34内にプラズマを形成するためには、ベースプレート31とシャワープレート32との間で放電が生じることが必要であるが、パッシェンの法則から、平行な電極間で火花放電の生じる電圧Vはガス圧p(Torr)と電極の間隔d(m)の積の関数(V=f(pd))であり、その関係は図22の通りであり、ガス圧が5Torrとすると2mm以上で放電する。実際のプロセスの圧力を勘案すると、有効に放電させるためにはベースプレート31とシャワープレート32との間の距離D1が10~30mmであることが好ましい。
 一方、絶縁部材110の表面にTi膜が形成されてベースプレート31とシャワープレート32との間が導通すると、ガス拡散空間34に放電が生じなくなるため、絶縁部材110のガス拡散空間34に臨む面に凹部110aを形成して、ベースプレート31とシャワープレート32との導通を回避することが好ましい。このとき、凹部110aの距離D2は、パッシェンの法則より、その中に放電が回り込まない値にすることが好ましく、1~3mmが好ましい。
 また、このようにしてガス拡散空間34にプラズマを生成してシャワーヘッド30の内面にTi膜102を形成することに加え、図16~20に示すフローのように、ウエハWへのTi膜堆積の際にチャンバ21内にプラズマを生成することを可能にするためには、図23に示すような構成の成膜装置を用いることが好ましい。図23の成膜装置は、高周波電源54により、ガス拡散空間34内へのプラズマ生成と、チャンバ21内へのプラズマ生成と選択的に行えるように、ベースプレート31とシャワープレート32との間に絶縁部材110を配置した上で、高周波電源54をベースプレート31およびシャワープレート32のいずれにも接続可能とし、シャワープレート32への接続をスイッチ112で接離可能としている。具体的には、ガス拡散空間34のみにプラズマを生成する場合には、スイッチ112により高周波電源54のベースプレート31への接続を遮断し、チャンバ21内にもプラズマを生成する場合には、スイッチ112により高周波電源54がベースプレート31にも接続するようにする。
 ベースプレート31とシャワープレート32との間に高周波電界を形成してプラズマを生成する代わりに、図24に示すように、ガス配管68にリモートプラズマ源105を接続して、リモートプラズマ源105からのプラズマによりTi膜を成膜してもよい。この場合には、シャワーヘッド30の内面の他、シャワーヘッド30より供給側のガス配管68にもTi膜が成膜される。
 また、以上の実施形態のように、工程11を行ってシャワーヘッド30にTi膜を成膜する代わりに、予めTiClガスの供給経路、例えばシャワーヘッド30や配管にTi含有部材を配置してもよい。
 例えば、図25の例では、シャワーヘッド30のベースプレート31のガス拡散空間34へTiClガスを導入する部分にガス導入孔36に連続するようにTi含有部材103が嵌め込まれている。この例では、TiClガスは、ガス配管68、ガス導入孔36およびTi含有部材103を介してシャワーヘッド30のガス拡散空間34に導入されるが、NHガス、Hガス等は、別の配管133およびガス導入孔140を介してガス拡散空間34に導入される。
 Ti含有部材103は、図26の斜視図に示すように、円盤部121と、円盤部121の下部に設けられた多数のガス通流孔122aを有するフランジ部122とを有しており、フランジ部122に設けられたねじ穴122bにねじを挿入して、ベースプレート31の下面にねじ止めされるようになっている。円盤部121は、Niのような耐熱性および耐食性の高い金属からなる円筒状をなすベース123と、その内側空間に配されたTi部材配置部124とを有している。Ti部材配置部124は、TiClガスが通流可能な状態でTi部材が配置されて構成されている。すなわち、Ti部材配置部124は、粒状のTi部材が空間に充填されてなっているか、メッシュ状のTi部材が配置されているか、またはハニカム状等の通気可能な状態のTi部材が配置されている。このため、ガス配管68からガス導入孔36を経由してTi含有部材103に供給されたTiClガスは、ヒーター65により所定の温度に加熱されているTi部材配置部124中を通流し、その間にTi部材に接触して、Tiと反応する。そして、この反応により生じたTi前駆体ガスがガス通流孔122aを通過してガス拡散空間34に至り、ガス吐出孔35を経てチャンバ21内に導入される。
 図27は、Ti含有部材103を設けた場合のガス供給配管の好ましい例を示す図である。TiClガスをシャワーヘッド30に供給するガス配管68のシャワーヘッド30の近傍には、TiClガス逆流防止のための逆流防止配管131が接続されており、ガス配管68の逆流防止配管131接続部の上流側には、キャリアガス配管132が接続されている。ガス配管68における、キャリアガス配管132接続部の上流側およびキャリアガス配管132接続部と逆流防止配管131接続部との間には、それぞれ開閉バルブ135aおよび135bが設けられている。逆流防止配管131には逆流防止ガスとして例えばArガスが通流されるようになっている。逆流防止配管131には開閉バルブ136が設けられている。また、キャリアガス配管132にはキャリアガスとして例えばArガスが供給されるようになっており、このキャリアガス配管132に供給されたキャリアガスをガス配管68に供給可能となっている。キャリアガス配管132の途中からは、シャワーヘッド30のベース部材31に至るガス配管133が分岐して延びており、ベース部材31のガス配管133接続部にはガス導入孔140が形成されている。キャリアガス配管132には、ガス配管133接続部の前後に開閉バルブ137aおよび137bが設けられている。また、ガス配管133にはガス配管134が接続されており、ガス配管134にはNHガス、Hガス、Arガス、Nガスが供給され、これらガスはガス配管134を経てガス配管133に至り、さらにガス導入孔140を介してシャワーヘッド30のガス拡散空間34に導入されるようになっている。ガス配管133のガス配管134接続部の上流側には開閉バルブ138が設けられている。また、ガス配管134には開閉バルブ139が設けられている。ガス配管68に供給されたTiClガスは、キャリアガス配管132、ガス配管133、およびガス導入孔140を介してTi含有部材103をバイパスしてシャワーヘッド30のガス拡散空間34に導入することが可能となっている。
 TiClガスをガス導入孔36を経てTi含有部材103に流す場合には、開閉バルブ135a、135b、136、137a、137bを開き、キャリアガスを供給しつつTiClガスをガス配管68に流す。このとき、NHガス、Hガス、Arガス、Nガスの少なくとも一つが、開閉バルブ138が閉じられ、139が開かれた状態で、ガス配管134、133およびガス導入孔140を介してガス拡散空間34に導入される。また、TiClガスをガス配管133およびガス導入孔140を経て他のガスとともにガス拡散空間34に導入する場合には、開閉バルブ135b、137bを閉じ、開閉バルブ135a、136、137a、138、139を開いた状態とする。
 また、TiClガスの供給経路として配管にTi含有部材を配置する例としては、図28に示すように、ガス配管68の途中にTi含有部材104が配置されているものを挙げることができる。この例では、TiClガスは、ガス配管68の途中のTi含有部材104を通過した後、さらにガス配管68およびガス導入孔36を介してシャワーヘッド30のガス拡散空間34に導入されるが、NHガス、Hガス等は、別の配管153およびガス導入孔160を介してガス拡散空間34に導入される。
 Ti含有部材104は、図29の斜視図に示すように、Niのような耐熱性および耐食性の高い金属からなる略円筒状をなすベース部材141と、ベース部材141の外周側に埋め込まれたカートリッジヒーター142と、ベース部材141の内側空間に配されたTi部材配置部143とを有している。Ti部材配置部143は、TiClガスが通流可能な状態でTi部材が配置されて構成されている。すなわち、Ti部材配置部143は、粒状のTi部材が空間に充填されてなっているか、メッシュ状のTi部材が配置されているか、またはハニカム状等の通気可能な状態のTi部材が配置されている。このため、ガス配管68からTi含有部材104に供給されたTiClガスは、カートリッジヒーター142により所定の温度に加熱されているTi部材配置部143中を通流し、その間にTi部材に接触して、Tiと反応する。そして、この反応により生じたTi前駆体ガスがガス配管68およびガス導入孔36を経てガス拡散空間34に至り、ガス吐出孔35を経てチャンバ21内に導入される。
 図30は、Ti含有部材104を設けた場合のガス供給配管の好ましい例を示す図である。TiClガスをシャワーヘッド30に供給するガス配管68におけるTi含有部材104の下流側には、TiClガス逆流防止のための逆流防止配管151が接続されており、ガス配管68におけるTi含有部材104の上流側には、キャリアガス配管152が接続され、キャリアガス配管152接続部の下流側にガス配管153が接続されている。ガス配管68における、キャリアガス配管152接続部の上流側およびガス配管153接続部とTi含有部材104との間には、それぞれ開閉バルブ155aおよび155bが設けられている。逆流防止配管151には逆流防止ガスとして例えばArガスが通流されるようになっている。逆流防止配管151には開閉バルブ156が設けられている。キャリアガス配管152にはキャリアガスとして例えばArガスが供給されるようになっており、このキャリアガス配管152に供給されたキャリアガスをガス配管68に供給可能となっている。キャリアガス配管152には開閉バルブ157が設けられている。ガス配管153はベース部材31に至り、ベース部材31のガス配管153接続部にはガス導入孔160が形成されている。また、ガス配管153にはガス配管154が接続されており、ガス配管154にはNHガス、Hガス、Arガス、Nガスが供給され、これらガスはガス配管154を経てガス配管153に至り、さらにガス導入孔160を介してシャワーヘッド30のガス拡散空間34に導入されるようになっている。ガス配管153のガス配管154接続部の上流側には開閉バルブ159が設けられている。また、ガス配管154には開閉バルブ158が設けられている。ガス配管68に供給されたTiClガスは、ガス配管153およびガス導入孔160を介してTi含有部材104をバイパスしてシャワーヘッド30のガス拡散空間34に導入することが可能となっている。
 TiClガスをTi含有部材104を経てシャワーヘッド30のガス拡散空34に導入する場合には、開閉バルブ155a、155b、156、157を開き、キャリアガスを供給しつつTiClガスをガス配管68に流す。このとき、NHガス、Hガス、Arガス、Nガスの少なくとも一つは、開閉バルブ158が開かれ、開閉バルブ159が閉じられた状態で、ガス配管154、153およびガス導入孔160を介してガス拡散空間34に導入される。また、TiClガスをガス配管153およびガス導入孔160を経て他のガスとともにガス拡散空間34に導入する場合には、開閉バルブ155bを閉じ、開閉バルブ156、158、159を開いた状態とする。
 なお、図25および図28の例では、NHガスがTi含有部材103、104に供給されることがないので、Ti含有部材103、104の表面が窒化されてTiClガスまたはTiClガスの生成反応が生じ難くなるといった不都合が生じない。ポストミックスのシャワーヘッドを用いてもこのような不都合を防止することができる。
 次に、本発明を検証した実験結果について説明する。
 ここでは、図11の装置を用い、サセプタ温度を640℃とし、ヒーター65の温度を370℃に設定してシャワーヘッド30の表面温度を480℃として、まず、チャンバ内にウエハを搬入せずに、TiClガス流量:12mL/min(sccm)、Hガス流量:4000mL/min(sccm)、Arガス流量:1600mL/min(sccm)としてこれらガスを流すとともに、高周波電源からシャワーヘッドに800Wの高周波電力を印加して、90secで約25nmのTi膜をシャワーヘッドの表面(外面)に成膜した。
 その後、サセプタ温度およびシャワーヘッド温度を同じ温度に保ったまま、チャンバ内にシリコンウエハを搬入し、チャンバ内の圧力を667Pa(5Torr)に保持し、プラズマを生成せずにTiClガス、Hガス、ArガスをシャワーヘッドへのTi膜成膜の際と同じ流量で流して、シリコンウエハ表面にTi膜を成膜した。その膜の膜厚を蛍光X線分析(XRF)により測定したところ10nmであった。
 次に、成膜された膜の結晶相を同定するために、膜をX線回折に供した。その結果を図31に示す。その結果、従来のプラズマを用いた成膜と同様、TiSiのC49相が形成されていることが確認された。また、その膜の抵抗値Rsは51Ω/sq、ばらつきは1σで8%であり、抵抗率は102Ω・cmであった。この結果は、従来のプラズマを用いた膜と同等であった。
 次に、図6に示す構造のウエハを用いて同様の条件でTi膜を成膜した。なお、コンタクトホールの形状はホール径60nm、ホール深さは550nmであった。図32は、その際のコンタクトホールの成膜状態を示す断面の透過型顕微鏡(TEM)写真である。この写真に示すように、層間絶縁膜上(Top)の膜厚が2nmであるのに対し、コンタクトホール中間(Middle)の膜厚は5nm(ステップカバレッジ:250%)、コンタクトホール底部(Bottom)の膜厚は22nm(ステップカバレッジ:1100%)となり、極めて良好なステップカバレッジが得られた。
 なお、本発明は、上記実施形態に限定されることなく種々変形可能である。例えば上記実施形態では、シリコンウエハ(シリコン基板)上にTi膜を成膜する場合について示したが、ウエハ上に形成したポリシリコン上に成膜する等、これに限るものではない。さらに、被処理基板としては、半導体ウエハに限らず例えば液晶表示装置(LCD)用基板、ガラス基板、セラミックス基板等の他の基板であってもよい。

Claims (37)

  1.  チャンバ内に被処理基板を配置する工程と、
     供給経路を通って塩素含有ガスを含む処理ガスを被処理基板が配置された前記チャンバ内へ供給する工程と、
     前記処理ガスの供給経路にTiを含有するTi含有部を配置し、前記処理ガスを前記チャンバに供給する際に、前記処理ガス中の塩素含有ガスを前記Ti含有部に接触させて前記塩素含有ガスと前記Ti含有部のTiとを反応させる工程と、
     前記チャンバ内の被処理基板を加熱しつつ、前記塩素含有ガスと前記Ti含有部のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程と
    を有する成膜方法。
  2.  前記供給経路は、ガス供給源から処理ガスを供給するガス配管と、ガス配管により供給されてきた処理ガスを前記チャンバに導入するガス導入機構を含み、前記Ti含有部は、前記ガス配管または前記ガス導入機構に配置されている、請求項1に記載の成膜方法。
  3.  前記Ti含有部は、前記ガス導入機構の外面または内面に設けられたTi膜を有する、請求項2に記載の成膜方法。
  4.  前記Ti含有部は、前記ガス導入機構またはガス供給配管に設けられたTi含有部材を有する、請求項2に記載の成膜方法。
  5.  前記Ti含有部材は、粒状のTi部材が空間に充填された状態、またはメッシュ状のTi部材が配置された状態、または、通気可能なTi部材が配置された状態のTi部材配置部を有する、請求項4に記載の成膜方法。
  6.  前記塩素含有ガスと前記Ti含有部のTiとを反応させる工程は、200~800℃で行われる、請求項1に記載の成膜方法。
  7.  前記熱反応により被処理基板の表面にTiを堆積する工程は、200~800℃に被処理基板を加熱しながら行われる、請求項1に記載の成膜方法。
  8.  前記塩素含有ガスはTiClガスであり、前記Ti前駆体ガスはTiClガスまたはTiClガスである、請求項1に記載の成膜方法。
  9.  前記塩素含有ガスと前記Ti含有部のTiとを反応させる温度を425~500℃とすることにより、前記Ti前駆体ガスとしてTiClガスを生成させる、請求項8に記載の成膜方法。
  10.  前記塩素含有ガスと前記Ti含有部のTiとを反応させる温度を500℃超とすることにより、前記Ti前駆体ガスとしてTiClガスを生成させる、請求項8に記載の成膜方法。
  11.  熱反応により被処理基板の表面にTiを堆積する工程は、被処理基板の温度を500℃超とし、被処理基板の表面にTiClを吸着させ、TiClからClを離脱させる反応を生じさせる、請求項8に記載の成膜方法。
  12.  前記熱反応により被処理基板の表面にTiを堆積した後、前記チャンバ内で処理ガスのプラズマを生成しつつ、さらにTiを堆積する、請求項1に記載の成膜方法。
  13.  前記熱反応によるTiの堆積と、前記プラズマによるTiの堆積とを繰り返し行う、請求項11に記載の成膜方法。
  14.  前記塩素含有ガスを含む処理ガスは、さらにHガスを含む、請求項1に記載の成膜方法。
  15.  前記塩素含有ガスを含む処理ガスは、さらに不活性ガスを含む、請求項1に記載の成膜方法。
  16.  前記Tiを堆積する工程により、被処理基板の表面にTi膜が形成される、請求項1に記載の成膜方法。
  17.  被処理基板の表面にSi含有部を有し、前記Tiを堆積する工程により、被処理基板の表面にTiSi膜が形成される、請求項1に記載の成膜方法。
  18.  チャンバ内に被処理基板を配置しない状態で、処理ガスを前記チャンバに導入するためのガス導入機構にTiClガスを含むガスを供給して、前記ガス導入機構にTi膜を形成する工程と、
     前記チャンバ内に被処理基板を搬入する工程と、
     塩素含有ガスを含む処理ガスを前記ガス導入機構を介して前記チャンバ内に導入する工程と、
     前記処理ガスを前記チャンバ内に導入する際に、前記処理ガス中の塩素含有ガスを前記Ti膜に接触させて前記塩素含有ガスと前記Ti膜のTiとを反応させる工程と、
     前記チャンバ内の被処理基板を加熱しつつ、前記塩素含有ガスと前記Ti膜のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程と
    を有する成膜方法。
  19.  前記ガス導入機構にTi膜を形成する工程は、プラズマを生成しつつ行われる、請求項18に記載の成膜方法。
  20.  前記ガス導入機構にTi膜を形成する工程は、前記チャンバ内にプラズマを生成しつつ前記ガス導入機構の外面にTi膜を形成する、請求項19に記載の成膜方法。
  21.  前記ガス導入機構にTi膜を形成する工程は、前記ガス導入機構の内部にプラズマを生成しつつ前記ガス導入機構の内面にTi膜を形成する、請求項19に記載の成膜方法。
  22.  前記塩素含有ガスと前記Ti膜とを反応させる工程は、200~800℃で行われる、請求項18に記載の成膜方法。
  23.  前記熱反応により被処理基板の表面にTiを堆積する工程は、200~800℃に被処理基板を加熱しながら行われる、請求項18に記載の成膜方法。
  24.  前記塩素含有ガスはTiClガスであり、前記Ti前駆体ガスはTiClガスまたはTiClガスである、請求項18に記載の成膜方法。
  25.  前記塩素含有ガスと前記Ti含有部のTiとを反応させる温度を425~500℃とすることにより、前記Ti前駆体ガスとしてTiClガスを生成させる、請求項24に記載の成膜方法。
  26.  前記塩素含有ガスと前記Ti含有部のTiとを反応させる温度を500℃超とすることにより、前記Ti前駆体ガスとしてTiClガスを生成させる、請求項24に記載の成膜方法。
  27.  熱反応により被処理基板の表面にTiを堆積する工程は、被処理基板の温度を500℃超とし、被処理基板の表面にTiClを吸着させ、TiClからClを離脱させる反応を生じさせる、請求項24に記載の成膜方法。
  28.  前記熱反応により被処理基板の表面にTiを堆積した後、前記チャンバ内で処理ガスのプラズマを生成しつつ、さらにTiを堆積する、請求項18に記載の成膜方法。
  29.  前記熱反応によるTiの堆積と、前記プラズマによるTiの堆積とを繰り返し行う、請求項28に記載の成膜方法。
  30.  前記塩素含有ガスを含む処理ガスは、さらにHガスを含む、請求項18に記載の成膜方法。
  31.  前記塩素含有ガスを含む処理ガスは、さらに不活性ガスを含む、請求項18に記載の成膜方法。
  32.  前記Tiを堆積する工程により、被処理基板の表面にTi膜が形成される、請求項18に記載の成膜方法。
  33.  被処理基板の表面にSi含有部を有し、前記Tiを堆積する工程により、被処理基板の表面にTiSi膜が形成される、請求項18に記載の成膜方法。
  34.  被処理基板を収容するチャンバと、
     前記チャンバ内で被処理基板を載置する載置台と、
     前記載置台上の被処理基板を加熱する第1のヒーターと、
     ガス供給源からガス配管を介して前記チャンバ内に処理ガスを導入するガス導入機構と、
     前記処理ガスの供給経路に設けられたTiを含有するTi含有部と、
     前記Ti含有部を加熱可能な第2のヒーターと、
     前記チャンバ内を排気する排気手段と、
     前記チャンバ内での処理を制御する制御部と
    を具備する成膜装置であって、
     前記制御部は、
     前記チャンバ内に被処理基板を搬入させるとともに、前記載置台上に載置させ、
     塩素含有ガスを含む処理ガスを前記ガス配管およびガス導入機構を介して前記チャンバ内に導入させ、
     前記処理ガスを前記チャンバ内に導入させる際に、前記処理ガス中の塩素含有ガスを前記Ti含有部に接触させて前記第2のヒーターにより加熱することにより前記塩素含有ガスと前記Ti含有部のTiとを反応させ、
     前記第1のヒーターにより前記載置台上の被処理基板を加熱させつつ、前記塩素含有ガスと前記Ti含有部のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積させる、成膜装置。
  35.  被処理基板を収容するチャンバと、
     前記チャンバ内で被処理基板を載置する載置台と、
     前記載置台上の被処理基板を加熱する第1のヒーターと、
     ガス供給源からガス配管を介して前記チャンバ内に処理ガスを導入するガス導入機構と、
     前記ガス導入機構を加熱する第2のヒーターと、
     前記処理ガスのプラズマを生成するプラズマ生成機構と、
     前記チャンバ内を排気する排気手段と、
     前記チャンバ内での処理を制御する制御部と
    を具備する成膜装置であって、
     前記制御部は、
     前記チャンバ内に被処理基板を配置しない状態で、前記ガス導入機構にTiClガスを含むガスを供給して、前記ガス導入機構にTi膜を形成させ、
     前記チャンバ内に被処理基板を搬入させるとともに、前記載置台上に載置させ、
     塩素含有ガスを含む処理ガスを前記ガス配管およびガス導入機構を介して前記チャンバ内に導入させ、
     前記処理ガスを前記チャンバ内に導入させる際に、前記処理ガス中の塩素含有ガスを前記Ti膜に接触させて前記第2のヒーターにより加熱することにより前記塩素含有ガスと前記Ti膜のTiとを反応させ、
     前記第1のヒーターにより前記載置台上の被処理基板を加熱させつつ、前記塩素含有ガスと前記Ti膜のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積させる、成膜装置。
  36.  コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、
     前記プログラムは、実行時に、
     チャンバ内に被処理基板を配置する工程と、
     供給経路を通って塩素含有ガスを含む処理ガスを被処理基板が配置された前記チャンバ内へ供給する工程と、
     前記処理ガスの供給経路にTiを含有するTi含有部を配置し、前記処理ガスを前記チャンバに供給する際に、前記処理ガス中の塩素含有ガスを前記Ti含有部に接触させて前記塩素含有ガスと前記Ti含有部のTiとを反応させる工程と、
     前記チャンバ内の被処理基板を加熱しつつ、前記塩素含有ガスと前記Ti含有部のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程と
    を有するTi膜の成膜方法が行われるように、コンピュータに前記成膜装置を制御させる、記憶媒体。
  37.  コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、
     前記プログラムは、実行時に、
     チャンバ内に被処理基板を配置しない状態で、処理ガスを前記チャンバに導入するためのガス導入機構にTiClガスを含むガスを供給して、前記ガス導入機構にTi膜を形成する工程と、
     前記チャンバ内に被処理基板を搬入する工程と、
     塩素含有ガスを含む処理ガスを前記ガス導入機構を介して前記チャンバ内に導入する工程と、
     前記処理ガスを前記チャンバ内に導入する際に、前記処理ガス中の塩素含有ガスを前記Ti膜に接触させて前記塩素含有ガスと前記Ti膜のTiとを反応させる工程と、
     前記チャンバ内の被処理基板を加熱しつつ、前記塩素含有ガスと前記Ti膜のTiとの反応により生じたTi前駆体ガスを被処理基板上に供給し、熱反応により被処理基板の表面にTiを堆積する工程と
    を有する成膜方法が行われるように、コンピュータに前記成膜装置を制御させる、記憶媒体。
PCT/JP2009/070724 2008-12-12 2009-12-11 成膜方法および成膜装置、ならびに記憶媒体 WO2010067856A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2010542130A JP5492789B2 (ja) 2008-12-12 2009-12-11 成膜方法および成膜装置
CN2009801501832A CN102245802A (zh) 2008-12-12 2009-12-11 成膜方法、成膜装置和存储介质
KR1020117013467A KR101282544B1 (ko) 2008-12-12 2009-12-11 성막 방법 및 성막 장치
US13/158,120 US8334208B2 (en) 2008-12-12 2011-06-10 Film-forming method and film-forming apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008317035 2008-12-12
JP2008-317035 2008-12-12

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/158,120 Continuation US8334208B2 (en) 2008-12-12 2011-06-10 Film-forming method and film-forming apparatus

Publications (1)

Publication Number Publication Date
WO2010067856A1 true WO2010067856A1 (ja) 2010-06-17

Family

ID=42242841

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/070724 WO2010067856A1 (ja) 2008-12-12 2009-12-11 成膜方法および成膜装置、ならびに記憶媒体

Country Status (6)

Country Link
US (1) US8334208B2 (ja)
JP (1) JP5492789B2 (ja)
KR (1) KR101282544B1 (ja)
CN (1) CN102245802A (ja)
TW (1) TWI531672B (ja)
WO (1) WO2010067856A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6076615B2 (ja) * 2012-04-27 2017-02-08 東京エレクトロン株式会社 不純物拡散方法、基板処理装置及び半導体装置の製造方法
US9330936B2 (en) 2013-11-09 2016-05-03 Tokyo Electron Limited Method for depositing metal layers on germanium-containing films using metal chloride precursors
US9899258B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Metal liner overhang reduction and manufacturing method thereof
US10535527B2 (en) 2017-07-13 2020-01-14 Applied Materials, Inc. Methods for depositing semiconductor films
US10867905B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60116776A (ja) * 1983-11-30 1985-06-24 Fujitsu Ltd Cvd装置
JPH04501886A (ja) * 1989-04-04 1992-04-02 エス・アール・アイ・インターナシヨナル 1種もしくはそれ以上の金属反応体とハロゲン含有反応体とを用いて1種もしくはそれ以上の反応性中間体を生成させる材料の低温度形成方法
JP2002512307A (ja) * 1998-04-20 2002-04-23 東京エレクトロン株式会社 Cvd室をパッシベーションする方法
JP2004285469A (ja) * 2003-01-31 2004-10-14 Tokyo Electron Ltd 載置台、処理装置及び処理方法
JP2007254868A (ja) * 2006-03-24 2007-10-04 Jfe Steel Kk チタン化合物の成膜方法
JP2007270309A (ja) * 2006-03-31 2007-10-18 Tokyo Electron Ltd プラズマ処理装置
JP2008274343A (ja) * 2007-04-27 2008-11-13 Tokyo Electron Ltd Ti膜の成膜方法および記憶媒体

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5149514A (en) * 1989-04-04 1992-09-22 Sri International Low temperature method of forming materials using one or more metal reactants and a halogen-containing reactant to form one or more reactive intermediates
US6626186B1 (en) 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
EP1090417A1 (en) * 1999-04-20 2001-04-11 Tokyo Electron Limited Method for single chamber processing of pecvd-ti and cvd-tin films in ic manufacturing
JP4429695B2 (ja) 2002-12-05 2010-03-10 東京エレクトロン株式会社 成膜方法および成膜システム
JP3574651B2 (ja) 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
WO2005015622A1 (ja) * 2003-08-11 2005-02-17 Tokyo Electron Limited 成膜方法
WO2007105432A1 (ja) * 2006-02-24 2007-09-20 Tokyo Electron Limited Ti系膜の成膜方法および記憶媒体
US8043471B2 (en) 2006-03-31 2011-10-25 Tokyo Electron Limited Plasma processing apparatus
KR20090026186A (ko) * 2006-07-11 2009-03-11 도쿄엘렉트론가부시키가이샤 성막 방법, 클리닝 방법 및 성막 장치
US7976897B2 (en) * 2007-02-21 2011-07-12 Micron Technology, Inc Thermal chemical vapor deposition methods, and thermal chemical vapor deposition systems
KR100882289B1 (ko) * 2007-04-03 2009-02-10 후지쯔 마이크로일렉트로닉스 가부시키가이샤 반도체 장치 및 그 제조 방법

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60116776A (ja) * 1983-11-30 1985-06-24 Fujitsu Ltd Cvd装置
JPH04501886A (ja) * 1989-04-04 1992-04-02 エス・アール・アイ・インターナシヨナル 1種もしくはそれ以上の金属反応体とハロゲン含有反応体とを用いて1種もしくはそれ以上の反応性中間体を生成させる材料の低温度形成方法
JP2002512307A (ja) * 1998-04-20 2002-04-23 東京エレクトロン株式会社 Cvd室をパッシベーションする方法
JP2004285469A (ja) * 2003-01-31 2004-10-14 Tokyo Electron Ltd 載置台、処理装置及び処理方法
JP2007254868A (ja) * 2006-03-24 2007-10-04 Jfe Steel Kk チタン化合物の成膜方法
JP2007270309A (ja) * 2006-03-31 2007-10-18 Tokyo Electron Ltd プラズマ処理装置
JP2008274343A (ja) * 2007-04-27 2008-11-13 Tokyo Electron Ltd Ti膜の成膜方法および記憶媒体

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
C.Y.LEE: "The Preparation of Titanium-Based Thin Film by CVD Using Titanium Chlorides as Precursors", CHEMICAL VAPOR DEPOSITION, vol. 5, no. 2, 1999, pages 69 - 73 *

Also Published As

Publication number Publication date
KR20110102330A (ko) 2011-09-16
KR101282544B1 (ko) 2013-07-04
TW201035358A (en) 2010-10-01
US8334208B2 (en) 2012-12-18
JP5492789B2 (ja) 2014-05-14
TWI531672B (zh) 2016-05-01
CN102245802A (zh) 2011-11-16
US20110237076A1 (en) 2011-09-29
JPWO2010067856A1 (ja) 2012-05-24

Similar Documents

Publication Publication Date Title
JP5492789B2 (ja) 成膜方法および成膜装置
WO2006134930A1 (ja) 半導体装置の製造方法、及び基板処理装置
WO2015080058A1 (ja) タングステン膜の成膜方法
KR20100132779A (ko) 박막 형성 방법 및 이의 제조 장치
JP6426893B2 (ja) コンタクト層の形成方法
JP6851173B2 (ja) 成膜装置および成膜方法
US9702039B2 (en) Graphene forming method
TWI726837B (zh) Ti膜之成膜方法
WO2011033918A1 (ja) 成膜装置、成膜方法および記憶媒体
WO2006101130A1 (ja) 成膜装置及び成膜方法
JP2010065309A (ja) Ti系膜の成膜方法および記憶媒体
JP2012072475A (ja) 成膜方法及び成膜装置
WO2011040173A1 (ja) 成膜装置および成膜方法、ならびに基板処理装置
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
WO2022080153A1 (ja) 基板処理方法および基板処理装置
KR101302819B1 (ko) Ti막의 성막 방법
WO2021261289A1 (ja) 成膜方法及び成膜装置
JP2010111888A (ja) Ti膜の成膜方法および成膜装置、ならびに記憶媒体
JP2008205325A (ja) 半導体装置の製造方法、及び基板処理装置
WO2023058460A1 (ja) チタン膜を形成する方法、及びチタン膜を形成する装置
JP2004214335A (ja) 成膜方法
JP5659041B2 (ja) 成膜方法および記憶媒体
TW202314022A (zh) 雙重鑲嵌內連件中之石墨烯覆蓋銅
JP2012175073A (ja) 成膜方法および記憶媒体

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980150183.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09831959

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2010542130

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20117013467

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09831959

Country of ref document: EP

Kind code of ref document: A1