WO2009057395A1 - 酸化膜除去のための基板洗浄処理方法 - Google Patents
酸化膜除去のための基板洗浄処理方法 Download PDFInfo
- Publication number
- WO2009057395A1 WO2009057395A1 PCT/JP2008/067016 JP2008067016W WO2009057395A1 WO 2009057395 A1 WO2009057395 A1 WO 2009057395A1 JP 2008067016 W JP2008067016 W JP 2008067016W WO 2009057395 A1 WO2009057395 A1 WO 2009057395A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- radical
- processing chamber
- plasma
- oxide film
- substrate surface
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title abstract 4
- 238000004140 cleaning Methods 0.000 title 1
- 238000000034 method Methods 0.000 title 1
- 238000004381 surface treatment Methods 0.000 abstract 2
- 230000002542 deteriorative effect Effects 0.000 abstract 1
- 230000005284 excitation Effects 0.000 abstract 1
- 239000011368 organic material Substances 0.000 abstract 1
- 238000000638 solvent extraction Methods 0.000 abstract 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
- H01L21/02049—Dry cleaning only with gaseous HF
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02381—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28202—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02658—Pretreatments
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4916—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/495—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
- H01L29/4975—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66651—Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Ceramic Engineering (AREA)
- Materials Engineering (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Drying Of Semiconductors (AREA)
- Electrodes Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
Abstract
プラズマによって生成したラジカルを、プラズマ生成室(108)と処理室を分離する隔壁板に設けられた複数の孔(111)から処理室に導入し、別途処理室に導入した処理ガスとこのラジカルを混合することで、上記ラジカルの励起エネルギーを抑制し、これによりSiと高い選択性を持った基板表面処理が可能となるため、基板表面の平坦性を損なうことなく自然酸化膜や有機物を除去する表面処理が可能となることが見出された。プラズマ中のラジカルをプラズマ分離用のプラズマ閉じ込め電極板(110)のラジカル通過孔(111)を通して処理室に導入し、処理室に処理ガスを導入して処理室(121)内でラジカルと混合し、そしてラジカルと処理ガスとの混合雰囲気により基板表面を洗浄する。
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN2008801209341A CN101919030B (zh) | 2007-11-02 | 2008-09-19 | 基板清洗设备及方法、在mos结构中形成栅极绝缘膜的方法 |
KR1020080127011A KR101503412B1 (ko) | 2007-11-02 | 2008-12-15 | 산화막 제거를 위한 기판세정 처리방법 |
US12/765,922 US20100255667A1 (en) | 2007-11-02 | 2010-04-23 | Substrate cleaning method for removing oxide film |
US15/161,892 US10083830B2 (en) | 2007-11-02 | 2016-05-23 | Substrate cleaning method for removing oxide film |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/JP2007/071393 WO2009057223A1 (ja) | 2007-11-02 | 2007-11-02 | 表面処理装置およびその基板処理方法 |
JPPCT/JP2007/071393 | 2007-11-02 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/765,922 Continuation US20100255667A1 (en) | 2007-11-02 | 2010-04-23 | Substrate cleaning method for removing oxide film |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2009057395A1 true WO2009057395A1 (ja) | 2009-05-07 |
Family
ID=40590632
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2007/071393 WO2009057223A1 (ja) | 2007-11-02 | 2007-11-02 | 表面処理装置およびその基板処理方法 |
PCT/JP2008/067016 WO2009057395A1 (ja) | 2007-11-02 | 2008-09-19 | 酸化膜除去のための基板洗浄処理方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2007/071393 WO2009057223A1 (ja) | 2007-11-02 | 2007-11-02 | 表面処理装置およびその基板処理方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US20100221895A1 (ja) |
JP (1) | JP5006938B2 (ja) |
KR (1) | KR101503412B1 (ja) |
CN (2) | CN101971298A (ja) |
WO (2) | WO2009057223A1 (ja) |
Families Citing this family (86)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102310063A (zh) * | 2010-06-29 | 2012-01-11 | 中国科学院微电子研究所 | 蜂窝形状等离子体自由基清洗系统 |
JP5955062B2 (ja) * | 2011-04-25 | 2016-07-20 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
CN203237432U (zh) * | 2012-12-24 | 2013-10-16 | 鸿准精密模具(昆山)有限公司 | 贴膜机构 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
US9371579B2 (en) * | 2013-10-24 | 2016-06-21 | Lam Research Corporation | Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films |
CN103681244B (zh) * | 2013-12-25 | 2016-09-14 | 深圳市华星光电技术有限公司 | 低温多晶硅薄膜的制备方法及其制作系统 |
KR101550526B1 (ko) * | 2014-02-21 | 2015-09-04 | 에스티에스반도체통신 주식회사 | 클러스터형 반도체 제조장치 및 이를 이용한 반도체 소자 제조방법 |
CN105097423B (zh) * | 2014-05-12 | 2018-09-18 | 中芯国际集成电路制造(上海)有限公司 | 等离子体反应器及清除等离子体反应腔室颗粒污染的方法 |
JP2016066641A (ja) * | 2014-09-22 | 2016-04-28 | 株式会社東芝 | 半導体装置及び半導体装置の製造方法 |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
JP6564642B2 (ja) * | 2015-07-23 | 2019-08-21 | 東京エレクトロン株式会社 | 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) * | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
CN109643638B (zh) * | 2016-09-16 | 2023-09-05 | 应用材料公司 | 用于在低于7纳米cmos制造中控制砷脱气的紫外线辐射系统与方法 |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
KR20180096853A (ko) * | 2017-02-20 | 2018-08-30 | 삼성디스플레이 주식회사 | 박막 증착 장치 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
CN108668422B (zh) * | 2017-03-30 | 2021-06-08 | 北京北方华创微电子装备有限公司 | 一种等离子体产生腔室和等离子体处理装置 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
JP6902941B2 (ja) * | 2017-06-29 | 2021-07-14 | 東京エレクトロン株式会社 | プラズマ処理方法およびプラズマ処理装置 |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US11097907B2 (en) * | 2017-07-10 | 2021-08-24 | Tokyo Electron Limited | Substrate transfer device and substrate transfer method |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US20190093214A1 (en) * | 2017-09-22 | 2019-03-28 | Applied Materials, Inc. | Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals |
JP2019075517A (ja) * | 2017-10-19 | 2019-05-16 | 東京エレクトロン株式会社 | 処理装置及び拡散路を有する部材 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
JP6556822B2 (ja) * | 2017-12-26 | 2019-08-07 | キヤノントッキ株式会社 | 基板処理方法、基板処理装置、及び、成膜装置 |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
CN108346561B (zh) * | 2018-02-09 | 2020-12-22 | 信利(惠州)智能显示有限公司 | 栅极绝缘层成膜前的多晶硅层处理方法及处理系统 |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10943768B2 (en) * | 2018-04-20 | 2021-03-09 | Applied Materials, Inc. | Modular high-frequency source with integrated gas distribution |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR20230085953A (ko) | 2018-10-19 | 2023-06-14 | 램 리써치 코포레이션 | 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11355620B2 (en) | 2018-10-31 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11217672B2 (en) * | 2019-08-30 | 2022-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a source/drain |
CN110993467B (zh) * | 2019-12-10 | 2022-07-01 | 南京三乐集团有限公司 | 一种微波真空电子器件用阴极的复式表面处理方法 |
CN112692463A (zh) * | 2021-03-25 | 2021-04-23 | 快克智能装备股份有限公司 | 一种电子装联焊接工艺 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07307332A (ja) * | 1994-05-10 | 1995-11-21 | Nippon Telegr & Teleph Corp <Ntt> | 表面清浄化法および薄膜形成法 |
JPH10172957A (ja) * | 1996-12-09 | 1998-06-26 | Central Glass Co Ltd | 酸化膜のドライエッチングガス及びそのエッチング方法及びシリコンのクリーニング方法 |
JP2004063520A (ja) * | 2002-07-25 | 2004-02-26 | Hitachi Ltd | ドライ洗浄装置及びドライ洗浄方法 |
JP2007273752A (ja) * | 2006-03-31 | 2007-10-18 | Mitsui Eng & Shipbuild Co Ltd | プラズマ処理装置およびプラズマ生成装置 |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6066823A (ja) * | 1983-09-22 | 1985-04-17 | Semiconductor Energy Lab Co Ltd | 半導体エッチング方法 |
US5089441A (en) * | 1990-04-16 | 1992-02-18 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafers |
JPH0496226A (ja) | 1990-08-03 | 1992-03-27 | Fujitsu Ltd | 半導体装置の製造方法 |
DE4029268C2 (de) * | 1990-09-14 | 1995-07-06 | Balzers Hochvakuum | Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung |
JPH06120181A (ja) * | 1992-10-05 | 1994-04-28 | Hitachi Ltd | 半導体製造方法および装置 |
JPH06236850A (ja) * | 1993-02-10 | 1994-08-23 | Sony Corp | プラズマ処理装置 |
JP2804700B2 (ja) * | 1993-03-31 | 1998-09-30 | 富士通株式会社 | 半導体装置の製造装置及び半導体装置の製造方法 |
JPH10147877A (ja) | 1996-11-19 | 1998-06-02 | Kokusai Electric Co Ltd | ガスクリーニング方法 |
US6107192A (en) * | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
US6892669B2 (en) * | 1998-02-26 | 2005-05-17 | Anelva Corporation | CVD apparatus |
WO2000070117A1 (en) * | 1999-05-14 | 2000-11-23 | The Regents Of The University Of California | Low-temperature compatible wide-pressure-range plasma flow device |
JP3317935B2 (ja) | 1999-09-01 | 2002-08-26 | 九州日本電気株式会社 | プラズマ処理装置 |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
JP4378806B2 (ja) | 1999-09-28 | 2009-12-09 | 日本電気株式会社 | Cvd装置およびその基板洗浄方法 |
JP3366301B2 (ja) * | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | プラズマcvd装置 |
JP2001164371A (ja) * | 1999-12-07 | 2001-06-19 | Nec Corp | プラズマcvd装置およびプラズマcvd成膜法 |
US8173783B2 (en) | 2000-12-08 | 2012-05-08 | Good Biotech Corporation | Process for selectively isolating IgY antibodies from egg yolk of an anseriform bird and IgY antibodies obtained thereby |
US7111629B2 (en) * | 2001-01-08 | 2006-09-26 | Apl Co., Ltd. | Method for cleaning substrate surface |
US20020124867A1 (en) * | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
JP4016598B2 (ja) | 2001-01-16 | 2007-12-05 | 株式会社日立製作所 | 半導体装置の製造方法 |
JP3989286B2 (ja) * | 2002-04-26 | 2007-10-10 | 株式会社ルネサステクノロジ | 半導体装置の製造方法 |
US6713402B2 (en) * | 2002-05-31 | 2004-03-30 | Texas Instruments Incorporated | Methods for polymer removal following etch-stop layer etch |
JP3997859B2 (ja) * | 2002-07-25 | 2007-10-24 | 株式会社日立製作所 | 半導体装置の製造方法および製造装置 |
JP2004128281A (ja) * | 2002-10-03 | 2004-04-22 | Tokyo Electron Ltd | 基板処理方法および基板処理装置 |
US6921703B2 (en) * | 2003-05-13 | 2005-07-26 | Texas Instruments Incorporated | System and method for mitigating oxide growth in a gate dielectric |
JP4115337B2 (ja) | 2003-05-30 | 2008-07-09 | 俊夫 後藤 | プラズマ処理装置 |
JP2005064120A (ja) | 2003-08-08 | 2005-03-10 | Shibaura Mechatronics Corp | プラズマ処理装置およびプラズマ処理方法 |
US20050211171A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US20050223986A1 (en) * | 2004-04-12 | 2005-10-13 | Choi Soo Y | Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition |
EP1586674A1 (en) * | 2004-04-14 | 2005-10-19 | Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO | Coatings, and methods and devices for the manufacture thereof |
US7381291B2 (en) * | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
JP2006049544A (ja) * | 2004-08-04 | 2006-02-16 | Canon Anelva Corp | 基板処理装置及びこれを用いた基板処理方法 |
EP1758171A4 (en) * | 2005-03-04 | 2009-04-29 | Sumitomo Electric Industries | VERTICAL GALLIUM NITRIDE SEMICONDUCTOR ELEMENT AND EPITACTIC SUBSTRATE |
US7432201B2 (en) * | 2005-07-19 | 2008-10-07 | Applied Materials, Inc. | Hybrid PVD-CVD system |
JP2008072029A (ja) | 2006-09-15 | 2008-03-27 | Sumitomo Chemical Co Ltd | 半導体エピタキシャル結晶基板の製造方法 |
JP2008112750A (ja) | 2006-10-27 | 2008-05-15 | Furukawa Electric Co Ltd:The | 半導体素子製造方法 |
-
2007
- 2007-11-02 JP JP2009538898A patent/JP5006938B2/ja active Active
- 2007-11-02 WO PCT/JP2007/071393 patent/WO2009057223A1/ja active Application Filing
- 2007-11-02 CN CN2007801021569A patent/CN101971298A/zh active Pending
-
2008
- 2008-09-19 CN CN2008801209341A patent/CN101919030B/zh active Active
- 2008-09-19 WO PCT/JP2008/067016 patent/WO2009057395A1/ja active Application Filing
- 2008-12-15 KR KR1020080127011A patent/KR101503412B1/ko active IP Right Grant
-
2010
- 2010-04-21 US US12/764,242 patent/US20100221895A1/en not_active Abandoned
- 2010-04-23 US US12/765,922 patent/US20100255667A1/en not_active Abandoned
-
2016
- 2016-05-23 US US15/161,892 patent/US10083830B2/en active Active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07307332A (ja) * | 1994-05-10 | 1995-11-21 | Nippon Telegr & Teleph Corp <Ntt> | 表面清浄化法および薄膜形成法 |
JPH10172957A (ja) * | 1996-12-09 | 1998-06-26 | Central Glass Co Ltd | 酸化膜のドライエッチングガス及びそのエッチング方法及びシリコンのクリーニング方法 |
JP2004063520A (ja) * | 2002-07-25 | 2004-02-26 | Hitachi Ltd | ドライ洗浄装置及びドライ洗浄方法 |
JP2007273752A (ja) * | 2006-03-31 | 2007-10-18 | Mitsui Eng & Shipbuild Co Ltd | プラズマ処理装置およびプラズマ生成装置 |
Also Published As
Publication number | Publication date |
---|---|
US20100255667A1 (en) | 2010-10-07 |
US10083830B2 (en) | 2018-09-25 |
JP5006938B2 (ja) | 2012-08-22 |
KR20100033328A (ko) | 2010-03-29 |
US20160343565A1 (en) | 2016-11-24 |
CN101919030B (zh) | 2012-07-04 |
WO2009057223A1 (ja) | 2009-05-07 |
JPWO2009057223A1 (ja) | 2011-03-10 |
CN101971298A (zh) | 2011-02-09 |
CN101919030A (zh) | 2010-12-15 |
KR101503412B1 (ko) | 2015-03-17 |
US20100221895A1 (en) | 2010-09-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2009057395A1 (ja) | 酸化膜除去のための基板洗浄処理方法 | |
TW200634925A (en) | Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses | |
TW200614365A (en) | Method for providing uniform removal of organic material | |
WO2009114120A3 (en) | Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter | |
WO2006028858A3 (en) | Methods of removing photoresist on substrates | |
TW200641991A (en) | Methods for silicon electrode assembly etch rate and etch uniformity recovery | |
WO2012018375A3 (en) | Plasma mediated ashing processes | |
TW200709293A (en) | Method and composition for polishing a substrate | |
WO2007038514A3 (en) | Apparatus and method for substrate edge etching | |
TW200502718A (en) | Methods of removing photoresist from substrates | |
TW200712259A (en) | A method for etching high dielectric constant materials | |
WO2009125951A3 (en) | Plasma processing apparatus and method for plasma processing | |
WO2005114715A8 (en) | Method for cleaning substrate surface | |
WO2008146834A1 (ja) | レジスト除去方法、半導体製造方法、及びレジスト除去装置 | |
TW200509227A (en) | Plasma processing system and cleaning method for the same | |
TW200600609A (en) | Method and apparatus for stable plasma processing | |
TW200633600A (en) | Method and apparatus for improved baffle plate | |
TW200715405A (en) | Method and apparatus for photomask plasma etching | |
TW200644123A (en) | Methods of removing resist from substrates in resist stripping chambers | |
WO2009003552A3 (de) | Behandlungssystem für flache substrate | |
WO2004066365A3 (en) | Cleaning of cvd chambers using remote source with cxfyoz based chemistry | |
WO2006038990A3 (en) | Method for treating a substrate | |
TW200715412A (en) | Method and apparatus for forming metal film | |
WO2004095502A3 (en) | Plasma processing system and method | |
SG136917A1 (en) | Method for removing masking materials with reduced low-k dielectric material damage |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 200880120934.1 Country of ref document: CN |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 08845671 Country of ref document: EP Kind code of ref document: A1 |
|
DPE2 | Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101) | ||
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 08845671 Country of ref document: EP Kind code of ref document: A1 |