WO2006019083A1 - ガスバリア性積層フィルムおよびその製造方法 - Google Patents

ガスバリア性積層フィルムおよびその製造方法 Download PDF

Info

Publication number
WO2006019083A1
WO2006019083A1 PCT/JP2005/014926 JP2005014926W WO2006019083A1 WO 2006019083 A1 WO2006019083 A1 WO 2006019083A1 JP 2005014926 W JP2005014926 W JP 2005014926W WO 2006019083 A1 WO2006019083 A1 WO 2006019083A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
gas
vapor deposition
gas barrier
resin
Prior art date
Application number
PCT/JP2005/014926
Other languages
English (en)
French (fr)
Inventor
Hitoshi Fujii
Norio Akita
Ayumi Shibata
Daidou Chiba
Koichi Mikami
Hisashi Sakamoto
Original Assignee
Dai Nippon Printing Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2004236996A external-priority patent/JP2006056007A/ja
Priority claimed from JP2004237598A external-priority patent/JP2006056036A/ja
Priority claimed from JP2005039758A external-priority patent/JP4549880B2/ja
Application filed by Dai Nippon Printing Co., Ltd. filed Critical Dai Nippon Printing Co., Ltd.
Priority to CN2005800353905A priority Critical patent/CN101039801B/zh
Priority to EP20050780234 priority patent/EP1787796B1/en
Publication of WO2006019083A1 publication Critical patent/WO2006019083A1/ja
Priority to KR1020077006009A priority patent/KR101392300B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/042Coating with two or more layers, where at least one layer of a composition contains a polymer binder
    • C08J7/0423Coating with two or more layers, where at least one layer of a composition contains a polymer binder with at least one layer of inorganic material and at least one layer of a composition containing a polymer binder
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/043Improving the adhesiveness of the coatings per se, e.g. forming primers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/048Forming gas barrier coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/562Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks for coating elongated substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/02Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to macromolecular substances, e.g. rubber
    • B05D7/04Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to macromolecular substances, e.g. rubber to surfaces of films or sheets
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/1334Nonself-supporting tubular film or bag [e.g., pouch, envelope, packet, etc.]
    • Y10T428/1341Contains vapor or gas barrier, polymer derived from vinyl chloride or vinylidene chloride, or polymer containing a vinyl alcohol unit
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/1352Polymer or resin containing [i.e., natural or synthetic]
    • Y10T428/1379Contains vapor or gas barrier, polymer derived from vinyl chloride or vinylidene chloride, or polymer containing a vinyl alcohol unit
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/1352Polymer or resin containing [i.e., natural or synthetic]
    • Y10T428/1379Contains vapor or gas barrier, polymer derived from vinyl chloride or vinylidene chloride, or polymer containing a vinyl alcohol unit
    • Y10T428/1383Vapor or gas barrier, polymer derived from vinyl chloride or vinylidene chloride, or polymer containing a vinyl alcohol unit is sandwiched between layers [continuous layer]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/28Web or sheet containing structurally defined element or component and having an adhesive outermost layer
    • Y10T428/2813Heat or solvent activated or sealable
    • Y10T428/2817Heat sealable
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/28Web or sheet containing structurally defined element or component and having an adhesive outermost layer
    • Y10T428/2813Heat or solvent activated or sealable
    • Y10T428/2817Heat sealable
    • Y10T428/2826Synthetic resin or polymer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31562Next to polyamide [nylon, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31565Next to polyester [polyethylene terephthalate, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31573Next to addition polymer of ethylenically unsaturated monomer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31667Next to addition polymer from unsaturated monomers, or aldehyde or ketone condensation product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31725Of polyamide
    • Y10T428/31736Next to polyester
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31725Of polyamide
    • Y10T428/3175Next to addition polymer from unsaturated monomer[s]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31786Of polyester [e.g., alkyd, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31786Of polyester [e.g., alkyd, etc.]
    • Y10T428/31797Next to addition polymer from unsaturated monomers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31909Next to second addition polymer from unsaturated monomers
    • Y10T428/31928Ester, halide or nitrile of addition polymer

Definitions

  • the present invention relates to a laminated film having gas nore property and a method for producing the same, and more specifically, a gas barrier laminated film having excellent gas nore property, transparency and excellent impact resistance. And a manufacturing method thereof.
  • a packaging material having gas barrier properties As a packaging material having gas barrier properties, a packaging material in which an aluminum foil layer is provided on a base material has been conventionally used. However, although such a packaging material has a stable gas noria property, it has an aluminum foil layer as a noria layer, so that it is not suitable for incineration and is not easy to dispose of after use. It was. Further, since the aluminum foil layer is provided, there is a problem that a packaging material having transparency cannot be obtained.
  • packaging materials having a barrier layer made of polyvinylidene chloride (PVDC) or an ethylene butyl alcohol copolymer (EVOH) have been developed.
  • PVDC polyvinylidene chloride
  • EVOH ethylene butyl alcohol copolymer
  • EVOH since PVDC contains chlorine, incineration after use generates chlorine gas, which is unfavorable for environmental hygiene.
  • EVOH has the advantages of high oxygen gas barrier properties and low adsorption of flavor components, but it has the problem that oxygen gas noria properties deteriorate in high humidity atmospheres.
  • EVOH has a problem that it does not have water vapor nooriety. For this reason, it is necessary to make the packaging material a complex laminated structure in order to block the steam power of EVOH, which is the NOR layer, and if the manufacturing cost increases!
  • inorganic oxide thin films such as silicon oxide and acid aluminum as a packaging material that stably exhibits high gas barrier properties and fragrance retention and has transparency. Films with a barrier layer have been developed.
  • This inorganic oxide thin film is formed by depositing an inorganic substance on a substrate by vacuum deposition, and there is no environmental problem at the time of disposal, and there is no humidity dependency of gas barrier properties. .
  • Japanese Patent Laid-Open No. 7-80986 a method of providing a coating film having gas barrier properties on the surface of the deposited film.
  • a material for the coating film a polymer having a high crystallinity and a high cohesive energy density of a polymer having a polar group such as a hydroxyl group is used.
  • polybutyl alcohol and ethylene butyl alcohol copolymer are used.
  • polar groups such as hydroxyl groups and amide groups bind to water molecules, and the gas barrier properties of the polar groups decrease as the environmental humidity increases.
  • the gas barrier property is lowered due to the moisture vapor of the content, and the quality of the content is deteriorated during storage.
  • the present invention has been made in view of such circumstances, and provides a gas barrier laminate film having excellent gas noria properties, transparency, and excellent impact resistance, and a method for producing the same.
  • the purpose is to do.
  • the gas-noreal laminated film of the present invention has a gas barrier property in which a vapor-deposited film of an inorganic oxide is provided on a substrate, and a gas-barrier coating film is provided on the vapor-deposited film.
  • the surface side of the substrate on which the deposited film is formed is pretreated or primer coated,
  • the gas nore coating film is formed by applying a gas nore coating liquid on the inorganic oxide film and then heating.
  • a base material is prepared, and one side of the base material is pretreated or primer-coated,
  • a gas nore coating liquid is formed on the vapor-deposited film by applying a gas nore coating liquid and heating at 150 to 250 ° C.
  • FIG. 1 is a schematic cross-sectional view showing an example of a layer structure of a gas noreia laminated film of the present invention.
  • FIG. 2 is a schematic cross-sectional view showing another example of the layer structure of the gas noreia laminated film of the present invention.
  • FIG. 3 is a schematic view of a chemical vapor deposition apparatus used in the method of the present invention.
  • FIG. 4 is a schematic view of a chemical vapor deposition apparatus used in the method of another embodiment of the present invention.
  • FIG. 5 is a schematic view of a physical vapor deposition apparatus used in the method of the present invention.
  • FIG. 1 is schematic cross-sectional views showing an example of the layer structure of the gas barrier laminated film of the present invention.
  • a surface treatment of the base material is performed on one surface of the base film 1, and an inorganic oxide is deposited on the surface treatment la.
  • a structure in which a film 2 is provided and a gas noble coating film 3 is provided on the inorganic oxide deposited film 2 is a basic structure.
  • a primer coat layer lb is provided on one surface of the substrate film 1, and an inorganic acid layer is provided on the primer coat layer.
  • the basic structure is a structure in which a vapor deposition film 2 is provided and a gas barrier coating film 3 is provided on the inorganic oxide deposition film 2.
  • the inorganic oxide vapor deposition film may be formed by stacking two or more vapor-deposited inorganic oxide films having the same or different forces. .
  • the base material constituting the gas-nominated laminated film of the present invention is excellent in chemical shearing physical strength, withstands the conditions for forming an inorganic oxide vapor-deposited film, and the like.
  • a resin film or sheet that can be well retained without impairing the properties can be used.
  • Such a resin film or sheet include, for example, polyolefin resin such as polyethylene resin or polypropylene resin, cyclic polyolefin resin, polystyrene resin, acrylonitrile. —Styrene copolymer (AS resin), Atari mouth-tolyl-butadiene—Styrene copolymer (ABS resin), poly (meth) acrylic resin, polycarbonate resin, polyethylene terephthalate, polyethylene naphthalate, etc. Polyester-based resins, various types of polyamide-based resins such as nylon, polyurethane-based resins, acetal-based resins, cellulose-based resins, and other various types of films or sheets can be used. [0022] In the present invention, the film or sheet of polyester resin, polyolefin resin, or polyamide resin, in particular, among the above-mentioned film or sheet of resin is used. It is preferable to use it.
  • one or more of the various types of the above-mentioned various types of resin can be used as the above-described various types of resin films or sheets, and an extrusion method, a cast molding method, a T-die, etc.
  • the film-forming method such as the method, cutting method, inflation method, etc.
  • the above-mentioned various types of resin are formed into a single film, or two or more types of various types of resin are used.
  • Multi-layer coextrusion film forming method Sarasako uses two or more types of resin and mixes them to form a film before forming a film.
  • various types of resin films or sheets that are stretched in a uniaxial or biaxial direction using, for example, a tenter system or a tubular llama system can be used.
  • the film thickness of various resin films or sheets is preferably about 6 to 200 ⁇ m, more preferably about 9 to about LOO / zm.
  • a lubricant for example, a crosslinking agent, an antioxidant, an ultraviolet absorber, a light stabilizer, a filler, a reinforcing agent, an antistatic agent, a pigment, and the like are used. Furthermore, a modifying resin can also be used.
  • the surface of various resin films! /, And the surface of the sheet are improved as necessary in order to improve the close adhesion to the vapor-deposited film of the inorganic oxide.
  • a desired surface treatment layer can be provided in advance.
  • the surface treatment layer for example, corona discharge treatment, ozone treatment, low temperature plasma treatment using oxygen gas or nitrogen gas, glow discharge treatment, treatment using chemicals, etc.
  • pre-treatment such as oxidation treatment, etc., for example, corona treatment layer, ozone treatment layer, plasma treatment layer, oxidation treatment layer, etc. are formed and provided be able to.
  • the above surface pretreatment is carried out as a method for improving the close adhesion between various types of resin films or sheets and the deposited film of inorganic oxides.
  • Other methods for improving adhesion include, for example, a primer coat layer, an undercoat layer, an anchor coat layer, an adhesive layer, or a deposition anchor on the surface of various resin films or sheets in advance.
  • a coating layer or the like is arbitrarily formed to form a surface treatment layer.
  • Examples of the pretreatment coating agent layer include polyester-based resin, polyamide-based resin, polyurethane-based resin, epoxy-based resin, phenol-based resin, (meth) acrylic-based resin, It is possible to use a resin composition comprising as a main component of a vehicle an olefin acetate resin, a polyethylene resin, a polyolefin resin such as polypropylene, or a copolymer thereof or a modified resin, a cellulose resin, or the like. it can.
  • the vapor deposition film which comprises the gas nootropic laminated film of this invention is demonstrated.
  • the deposited film can be formed by chemical vapor deposition or physical vapor deposition.
  • chemical vapor deposition examples include chemical vapor deposition (chemical vapor deposition, CVD) such as plasma chemical vapor deposition, thermochemical vapor deposition, and photochemical vapor deposition. Can be formed.
  • an evaporation gas such as an organosilicon compound is used as a raw material, and an inert gas such as argon gas or helium gas is used as a carrier gas.
  • an inert gas such as argon gas or helium gas
  • a vapor deposition film of an inorganic oxide such as silicon oxide can be formed using a low temperature plasma chemical vapor deposition method using oxygen as a supply gas and using a low temperature plasma generator.
  • a low-temperature plasma generator for example, a power capable of using a generator such as high-frequency plasma, pulse wave plasma, microwave plasma, etc. Generated by a high-frequency plasma method to obtain a stable plasma It is desirable to use a device.
  • FIG. 3 is a schematic configuration diagram of a low-temperature plasma chemical vapor deposition apparatus showing an outline of a method for forming a deposited film of an inorganic oxide by the above-described plasma chemical vapor deposition method.
  • a resin film or sheet is unwound from an unwinding roll 23 disposed in a vacuum chamber 22 of a plasma chemical vapor deposition apparatus 21, and further The resin film or sheet is conveyed on the circumferential surface of the cooling electrode drum 25 through the auxiliary roll 24 at a predetermined speed.
  • oxygen gas, an inert gas, a monomer gas for vapor deposition such as an organosilicon compound, and the like are supplied from the gas supply devices 26, 27 and the raw material volatilization supply device 28, and the like, and the vapor deposition comprising them.
  • the mixed gas composition for vapor deposition was introduced into the vacuum chamber 22 through the raw material supply nozzle 29 without adjusting the mixed gas composition for use in the vacuum, and the above-mentioned cooling drum 25 was conveyed on the circumferential surface of the electrode drum.
  • a plasma is generated by a glow discharge plasma on an oil film or sheet, and this is irradiated to form a vapor-deposited film of an inorganic oxide such as silicon oxide to form a film.
  • the cooling electrode drum 25 is applied with a predetermined power from the power source 31 disposed outside the chamber, and in the vicinity of the cooling electrode drum 25. Then, the generation of plasma is promoted by arranging the magnet 32, and then the resin film or sheet on which the deposited film of inorganic oxide such as silicon oxide is formed is guided through the guide roll 33. The film can be wound around a take-up roll 34 to produce a non-coated film having an inorganic oxide vapor-deposited film.
  • the inorganic oxide vapor-deposited film may be in the form of a multilayer film in which two or more layers are laminated in addition to one layer of the inorganic oxide vapor-deposited film.
  • the material to be used may be one kind or a mixture of two or more kinds, and a vapor deposited film of an inorganic oxide mixed with different kinds of materials may be formed.
  • the vacuum chamber within a reduced pressure by a vacuum pump, vacuum 1 X 10- 1 ⁇ 1 X 10 _8 Torr , preferably about, the vacuum degree 1 X 10 one 3 to about 1 X 10 _7 Torr It is preferable to prepare.
  • the organic silicon compound as the raw material is volatilized and mixed with oxygen gas, inert gas, or the like supplied from the gas supply apparatus. It is introduced into the vacuum chamber through the raw material supply nozzle.
  • the content of the organosilicon compound in the mixed gas is approximately 1 to 40%
  • the oxygen gas content is approximately 10 to 70%
  • the inert gas content is approximately 10 to 60%.
  • the mixing ratio of the organosilicon compound, oxygen gas, and inert gas can be about 1: 6: 5 to 1:17:14.
  • a glow discharge brush is formed in the vicinity of the opening of the material supply nozzle in the vacuum chamber and the cooling electrode drum.
  • the glow discharge plasma is derived from one or more gas components in the mixed gas, and in this state, the resin film or sheet is transported at a constant speed to cause the glow discharge.
  • a vapor deposited film of an inorganic oxide such as silicon oxide can be formed on the cooling film on the peripheral surface of the electrode drum by a probe.
  • the vacuum degree in the vacuum chamber one this time 1 X 10- 1 ⁇ 1 X 10 _4 Torr or so, preferably prepared at a vacuum of about 1 X 10- 1 ⁇ 1 X 10 _2 Torr It is also preferable that the speed of transporting the resin film is about 10 to 300 mZ, preferably about 50 to 150 m / min.
  • the formation of a vapor deposition film of an inorganic oxide such as silicon oxide is carried out by supplying a plasma source gas to oxygen on a resin film or sheet. Since it is formed into a thin film in the form of SiOx while oxidizing with gas, the deposited oxide film of inorganic oxide such as silicon oxide is dense, continuous layer with little gaps and high flexibility It becomes. Therefore, the gas barrier property of a vapor-deposited film of inorganic oxide such as silicon oxide is much higher than that of a vapor-deposited film of inorganic oxide such as silicon oxide formed by a conventional vacuum vapor deposition method or the like. In other words, sufficient gas-noreness can be obtained with a thin film thickness.
  • the surface of the sheet is not a resin film by SiOx plasma! Since iS is cleaned and polar groups and free radicals are generated on the surface of the resin film or sheet, it is formed by vapor deposition of inorganic oxide such as silicon oxide and resin film! / It has the advantage that it becomes a thing with high close adhesiveness.
  • the degree of vacuum when forming a continuous film of inorganic oxide such as silicon oxide is 1
  • X 10- 1 ⁇ 1 X 10 _4 Torr preferably about, 1 X 10- 1 ⁇ 1 X 10 from Rukoto be prepared about _2 Torr, the vacuum degree during vapor deposition film formed by the conventional vacuum vapor deposition (10 one 4 since ⁇ compared to 10 _5 T about orr) a low degree of vacuum, a film of ⁇ , and it is possible to shorten the vacuum state setting time in the raw exchange sheet, the degree of vacuum is stabilized, Ltd.
  • the membrane process is stable.
  • a vapor deposition film of silicon oxide formed using a vapor deposition monomer gas such as an organic silicon compound has a chemical reaction between a vapor deposition monomer gas such as an organic silicon compound and oxygen gas.
  • the reaction product is closely adhered to one surface of a resin film or sheet to form a dense and flexible thin film.
  • the general formula: SiOx (wherein X is 0 to 2 is a continuous thin film mainly composed of silicon oxide.
  • the evaporated silicon oxide film is represented by the general formula: SiOx (wherein X represents a number from 1.3 to 1.9) in terms of transparency, barrier properties, and the like.
  • a thin film mainly composed of a deposited silicon oxide film is preferable.
  • the value of X is a force that varies depending on the molar ratio of vapor deposition monomer gas and oxygen gas, the energy of plasma, etc.
  • the gas permeability decreases as the value of X decreases.
  • the film itself is yellowish and the transparency is poor.
  • the above-mentioned vapor-deposited film of silicon oxide is mainly composed of silicon oxide, and further, one kind of carbon, hydrogen, silicon or oxygen, or a compound having two or more kinds of elemental forces. It is preferable to contain at least one kind by chemical bonds or the like.
  • a compound having a C—H bond, a compound having a Si—H bond, or a carbon unit is in the shape of graphite, diamond, fullerene, etc. These derivatives may be contained by chemical bonds or the like.
  • Specific examples include hydrated carbon having a CH site, SiH silyl, SiH silylene, etc.
  • Hydroxide derivatives such as 3 3 2 hydrated silica and SiH OH silanol.
  • the type, amount, etc. of the compound contained in the deposited film of silicon oxide can be changed by changing the conditions of the vapor deposition process.
  • the content of the above compound strength in the evaporated film of silicon oxide is about 0.1 to 50%, preferably about 5 to 20%.
  • the present invention it is preferable to reduce the content of the above-mentioned compound in the evaporated silicon oxide film by reducing the surface force of the evaporated silicon oxide film in the depth direction.
  • the impact resistance and the like can be enhanced by the above-described compound on the surface of the silicon oxide vapor-deposited film, and on the other hand, the content of the above-mentioned compound is small at the interface with the base material. The tight adhesion with the deposited silicon film becomes strong.
  • the deposited silicon oxide film is, for example, an X-ray photoelectron spectrometer (XPS), a secondary ion mass spectrometer (SIMS), or the like.
  • XPS X-ray photoelectron spectrometer
  • SIMS secondary ion mass spectrometer
  • the physical properties as described above can be confirmed by performing elemental analysis of the deposited film of silicon oxide by using a surface analysis device and performing analysis such as ion etching in the depth direction. .
  • the deposited film thickness of the silicon oxide is about 50 A to 400 00 A. Is preferably about 100 to L000A. If it is thicker than 1000 A or 4000 A, cracks and the like are likely to occur in the film, so this is not preferable. If it is less than 50 A, the gas barrier effect cannot be expected.
  • the film thickness of the deposited film can be measured by a fundamental parameter method using, for example, a fluorescent X-ray analyzer (model name, RIX2000 model) manufactured by Rigaku Corporation.
  • the volume velocity of the vapor-deposited film is increased, that is, a method of increasing the amount of monomer gas and oxygen gas or steaming. Yes Can be done by slowing the speed.
  • 1, 1, 3, 3-tetramethyldisiloxane or hexamethyldisiloxane is used as a raw material.
  • it is a particularly preferable raw material.
  • anoregon gas, helium gas or the like can be used as the inert gas.
  • the present invention it is preferable to form two or more silicon oxide layers when forming a deposited film by chemical vapor deposition.
  • the gas noirality can be further improved.
  • the plasma chemical vapor deposition apparatus 40 basically includes a base film supply chamber 41, a first film forming chamber 42, a second film forming chamber 43, and a third film forming chamber. It comprises a membrane chamber 44 and a scraping chamber 45 for winding a film obtained by forming a silicon oxide layer on the base film.
  • the base film 1 wound on the unwinding roll 46 is fed to the first film forming chamber 42, and further this base film 1 is cooled at a predetermined speed via the auxiliary roll 47.
  • the raw material volatilization supply device 49 and the gas supply device 50 are used to supply one or more types of organosilicon compound film forming monomer gas, oxygen gas, inert gas, etc.
  • the mixed gas composition for film formation was introduced into the first film forming chamber 42 through the raw material supply nozzle 51, and the cooling electrode drum 48 on the circumferential surface
  • plasma is generated by the glow discharge plasma 52, and this is irradiated to form a first silicon oxide layer which also has silicon oxide isotropic force. I'll do it.
  • the base film obtained by forming the first silicon oxide layer in the first film forming chamber 42 is transferred to the second film through the auxiliary rolls 53 and 54. Then, the substrate film is fed into the chamber 43, and then the substrate film on which the first silicon oxide layer is formed is conveyed onto the cooling electrode drum 55 circumferential surface at a predetermined speed in the same manner as described above.
  • the raw material volatilization supply device 56 and the gas supply device 57 supply one or more kinds of organic silicon compound monomer gas, oxygen gas, inert gas, etc. Then, while adjusting the mixed gas composition for film formation comprising them, the mixed gas composition for film formation is introduced into the second film forming chamber 43 through the raw material supply nozzle 58, and the above cooling is performed. Plasma is generated by glow discharge plasma 59 on the first silicon oxide layer of the base film obtained by forming the first silicon oxide layer transported on the electrode drum 55 peripheral surface, By irradiating this, a second silicon oxide layer having a silicon oxide isotropic force is formed.
  • the base film obtained by forming the first and second silicon oxide layers in the second film-forming chamber is passed through the auxiliary rolls 60 and 61.
  • the substrate film which is fed into the third film forming chamber 44 and then formed into a silicon oxide layer of the first layer and the second layer is cooled at a predetermined speed in the same manner as described above. Transport on the surface.
  • the raw material volatilization supply device 63 and the gas supply device 64 supply one or more types of organic silicon compound monomer gas, oxygen gas, inert gas, etc.
  • the above mixed gas composition for film formation is introduced into the third film formation chamber 44 through the raw material supply nozzle 65 while adjusting the mixed gas composition for film formation comprising them, and the cooling electrode Plasma is generated by glow discharge plasma 66 on the second silicon oxide layer of the base film formed from the first and second silicon oxide layers transported on the drum peripheral surface. This is irradiated to form a third silicon oxide layer having a silicon oxide isotropic force.
  • the first layer, the second layer, and the third layer of the silicon oxide layer are formed as described above, and the base film on which these layers are stacked is passed through the auxiliary roll 67.
  • a gas nore laminate film having a vapor deposition layer in which the first layer, the second layer, and the third layer of silicon oxide are overlaid can be produced by being wound around a scooping roll 68.
  • each cooling electrode drum (18, 55, 62) disposed in each of the first, second, and third film forming chambers (42, 43, 44) Predetermined power is applied from a power source 69 arranged outside the first, second, and third film forming chambers, and each cooling / electrode drum (48, 55, 62) In the vicinity, magnets (70, 71, 72) are placed and the plasma generation force is increased by M.
  • the above-described plasma chemical vapor deposition apparatus is provided with a vacuum pump or the like, and each film forming chamber or the like is prepared to be kept in a vacuum.
  • a gas barrier laminated film in which the first layer, the second layer, and the third layer silicon oxide layer are overlaid is manufactured. It can be prepared to form a silicon oxide layer, such as two layers or four layers or more, and form a layered structure.
  • the present invention is not limited only to the example of producing a gas noreia laminated film in which the first, second, and third silicon oxide layers are overlaid.
  • each made Makushitsu is depressurized by a vacuum pump or the like, the degree of vacuum 1 X 10- 1 ⁇ 1 X 1 0 _8 Torr , preferably about, vacuum 1 X 10 one 3 ⁇ 1 X 10 _7 It is preferable to adjust to about Torr.
  • each cooling electrode drum since a predetermined voltage is applied to each cooling electrode drum as a power source, a glow discharge plasma is generated in the vicinity of the opening of the raw material supply nozzle in each film forming chamber and the cooling electrode drum.
  • the generated glow discharge plasma is also derived from one or more gas component forces of the mixed gas composition for film formation.
  • the base film is transported at a constant speed, and the glossy plasma is transported.
  • a silicon oxide layer having a silicon oxide isotropic force can be formed on the substrate film on the circumferential surface of the cooling electrode drum by a single discharge plasma.
  • the vacuum degree of each film forming chamber in this case, 1 X 10- 1 ⁇ 1 X 10 _4 Torr or so, preferably prepared at a vacuum of about 1 X 10- 1 ⁇ 1 X 10 _2 Torr .
  • the substrate film is conveyed at a speed of about 10 to 300 mZ, preferably about 50 to 150 mZ.
  • the degree of vacuum in each film forming chamber may be the same or different in each chamber.
  • a film forming monomer gas composed of one or more organic silicon compounds as raw materials is volatilized and mixed with oxygen gas, inert gas, or the like supplied from the gas supply apparatus. It is preferable to introduce the mixed gas composition for film formation into each film forming chamber through the raw material supply nozzle while adjusting the mixed gas composition for film formation composed of them.
  • the gas mixing ratio of each gas component of the film-forming mixed gas composition is such that the content of the monomer gas for film-forming, which is one kind of organosilicon compound, is about 1 to 40%, oxygen gas It is preferable to prepare such that the content is about 0 to 70%, and the inert gas content is about 1 to 60%.
  • the film-forming mixture prepared by changing the gas mixing ratio of each gas component of the film-forming mixed gas composition introduced into each film-forming chamber for each film-forming room. It is preferable to use a gas composition and form a film for each film forming chamber to overlay a silicon oxide layer that is equivalent to a silicon oxide.
  • At least each gas of a film-forming monomer gas, an oxygen gas, and a film-forming mixed gas composition containing an inert gas that also has at least one kind of organosilicon compound is contained.
  • a film-forming mixed gas composition is prepared, and the film-forming mixed gas composition is used by changing it for each film-forming chamber, and two or more layers of plasma using these film-forming mixed gas compositions are used.
  • a silicon oxide layer can be formed by chemical vapor deposition.
  • the film-forming monomer gas: oxygen gas: inert gas 1: 0-5: 1: film mixed gas composition consisting of a gas yarns ⁇ ratio (unit slm, which stands for standard rate coater Minute)
  • the above mixed gas composition for film formation is arbitrarily combined, In the first, second, or third film-forming chamber, a film-forming mixed gas composition in which the mixing ratio of each gas component of the film-forming mixed gas composition is changed is used to form a film. Can do.
  • the inorganic oxide deposition film may be, for example, a physical vapor deposition method such as a vacuum deposition method, a sputtering method, an ion plating method, or an ion cluster beam method (Physical Vapor Deposition method, PVD method). ) Can be used.
  • a physical vapor deposition method such as a vacuum deposition method, a sputtering method, an ion plating method, or an ion cluster beam method (Physical Vapor Deposition method, PVD method). ) Can be used.
  • a metal oxide or a metal oxide is used as a raw material, or a vacuum evaporation method in which a metal oxide is used as a raw material and heated to deposit on a resin film or sheet.
  • Oxygen is introduced and oxidized to make a resin film, and then an oxidation reaction deposition method that deposits on a sheet, and a plasma-assisted oxidation reaction deposition method that further assists the oxidation reaction with plasma, etc.
  • a heating method of the vapor deposition material for example, a resistance heating method, a high-frequency induction heating method, an electron beam heating method (EB), or the like can be performed.
  • EB electron beam heating method
  • Examples of the inorganic oxide vapor deposition film include metal oxide vapor deposition films, and specifically, silicon (Si), aluminum (A1), magnesium (Mg), calcium ( Metal oxides such as Ca), potassium (K), tin (sn), sodium (Na), boron (B), titanium (Ti), lead (Pb), zirconium (Zr), yttrium (Y)
  • the deposited film can be used.
  • Preferable examples include metals such as silicon (Si) and aluminum (A1).
  • the vapor deposition film of the above metal oxide can be referred to as a metal oxide such as a silicon oxide, an aluminum oxide, a magnesium oxide, and the like.
  • a metal oxide such as a silicon oxide, an aluminum oxide, a magnesium oxide, and the like.
  • Is represented by MOx such as SiOx, A10x, MgOx (wherein M represents a metal element, and the value of X varies depending on the metal element).
  • the range of the value of X is 0-2 for silicon (Si), 0-1.5 for aluminum (A1), 0-1 for magnesium (Mg), calcium ( Ca) is 0 to 1, potassium (K) is 0 to 0.5, tin (Sn) is 0 to 2, sodium (Na) is 0 to 0.5, boron (B) is 0 to 1, 5, Titanium (Ti) is 0-2, Lead (Pb) is 0-1, Zirconium (Zr) is 0-2, Yttrium (Y) is 0-1.5. be able to.
  • the thickness of the deposited film of the inorganic oxide as described above varies depending on the metal used or the type of the metal oxide, but for example, about 50 to 4000A, Preferably, it is desirable to form it arbitrarily within the range of ⁇ to ⁇ A.
  • the deposited metal film of the inorganic oxide is used as a metal to be used, or the metal oxide is used in one kind or a mixture of two or more kinds, and different materials are used. It is also possible to form a vapor-deposited film of mixed inorganic oxide.
  • FIG. 5 is a schematic configuration diagram showing an example of a take-up vacuum deposition apparatus.
  • the resin film or sheet 1 fed out from the unwinding roll 82 passes through the guide rolls 83 and 84. Guided to cooled coating drum 85.
  • the vapor deposition source 86 heated by the crucible 92 for example, metallic aluminum or acid aluminum is evaporated on the resin film or sheet guided on the cooled coating drum. Further, if necessary, oxygen gas or the like is blown out from the oxygen gas outlet 87, and an inorganic oxide vapor deposition film such as aluminum oxide is deposited on the resin film through the mask 88 while supplying the oxygen gas. It is formed on the sheet. Next, for example, a resin film or a sheet formed with a vapor deposited film of an inorganic oxide such as an acid film is applied to the winding roll 91 via the guide rolls 89 and 90. A film or sheet of a resin having a vapor-deposited film of inorganic oxide can be wound up.
  • a vapor deposition film of an inorganic oxide of the first layer is formed by using the winding type vacuum vapor deposition apparatus as described above, and then the inorganic oxide is similarly formed.
  • An inorganic oxide vapor deposition film is further formed on the vapor deposition film, or a winding as described above.
  • a take-up type vacuum vapor deposition device this is connected in series, and an inorganic oxide vapor deposition film is continuously formed, thereby forming an inorganic oxide vapor deposition film consisting of two or more multilayer films. Can be formed.
  • gas nore coating film made of a gas nore composition obtained by polycondensation of a composition containing alkoxide, polyvinyl alcohol and Z or ethylene'vinyl alcohol by a sol-gel method.
  • the alkoxide that can be suitably used in the present invention has a general formula: R 1 M (OR 2 ) (wherein M is gold)
  • R 2 is an organic group having 1 to 8 carbon atoms, n is 0 or more, m is an integer of 1 or more, and n + m represents the valence of M), and the partial hydrolyzate of this alkoxide
  • at least one of alkoxide hydrolysis condensates can be used.
  • the partial hydrolyzate of the alkoxide described above may be one in which one or more of the alkoxy groups are hydrolyzed, and a mixture thereof.
  • the hydrolysis condensate represents a dimer or more of partially hydrolyzed alkoxide, and a dimer to hexamer is usually used.
  • ruthenium, titanium, aluminum, etc. can be used, and preferable is silicon.
  • These alkoxides can be used singly or as a mixture of two or more different metal atom alkoxides in the same solution.
  • organic group R 1 examples include, for example, a methyl group, an ethyl group, an n-propyl group, an n-propyl group, an i-propyl group, an n-butyl group, an i-butyl group, a sec-butyl group, and a t-butyl group. And alkyl groups such as n-hexyl group and n-octyl group.
  • organic group R 2 include a methyl group, an ethyl group, an n-propyl group, an i-propyl group, an n-butyl group, and a sec butyl group.
  • alkoxysilane in which M is Si is preferably an alkoxysilane represented by Si (OR a ), and R is a lower alkyl group.
  • R a is a methyl group
  • Examples thereof include poxysilane Si (OC H) and tetrabutoxysilane Si (OC H).
  • alkylalkoxysilane R b Si (OR can be used (m is 1, 2, 3
  • R b the R e, a methyl group, etc. Echiru group is used, specific examples of the alkyl alkoxy silane, methyltrimethoxysilane CH Si (OCH), methyltriethoxysilane
  • Toxisilane (CH) Si (OC H) and the like can be mentioned. These alkoxysilanes, al
  • Kill alkoxysilanes can be used alone or in admixture of two or more.
  • polycondensation products of alkoxysilanes can also be used, and specific examples include polytetramethoxysilane and polytetraemethoxysilane.
  • zirconium alkoxides in which M is Zr include
  • Zr (0-C H) or the like can be preferably used.
  • titanium alkoxides in which M is Ti include tetramethoxytitanium Ti (0—CH 3), tetraethoxytitanium Ti (0—C H),
  • specific examples of aluminum alkoxides in which M is A1 include tetramethoxyaluminum A1 (0—CH 3) and tetraethoxyaluminum A1 (0—C H).
  • AKO-C H AKO-C H
  • the like can be preferably used.
  • a mixture of two or more of these alkoxides may be used.
  • the toughness and heat resistance of the resulting laminated film can be improved, and a decrease in the retort resistance of the film during stretching can be avoided.
  • the amount of zirconium alkoxide used is in the range of 10 parts by weight or less, preferably about 5 parts by weight, based on 100 parts by weight of alkoxysilane. When the amount exceeds 10 parts by weight, the formed composite polymer becomes gely, the brittleness of the composite polymer increases, and the composite polymer layer easily peels off when the base film is coated.
  • the thermal conductivity of the resulting film is lowered, and the heat resistance of the substrate is remarkably improved.
  • the amount of titanium alkoxide used is in the range of 5 parts by weight or less, preferably about 3 parts by weight, based on 100 parts by weight of alkoxysilane. When the amount exceeds 5 parts by weight, the brittleness of the formed composite polymer increases, and the composite polymer is easily peeled off when the base film is coated.
  • a silane coupling agent is used in combination with the alkoxide.
  • a known organic reactive group-containing organoalkoxysilane can be used.
  • an organoalkoxysilane having an epoxy group is preferred. Examples include ⁇ -glycidoxypropyltrimethoxysilane, ⁇ -glycidoxypropylmethyljetoxysilane, and j8 (3,4 epoxy cyclohexyl) ethyltrimethoxysilane.
  • Such silane coupling agents may be used in combination of two or more.
  • the amount of the silane coupling agent used is in the range of 0.1 to 20 parts by weight with respect to 100 parts by weight of the alkoxysilane.
  • the composite polymer formed is increased in rigidity and brittleness, and the insulation and caloric properties of the composite polymer layer are lowered.
  • the composition for forming a gas barrier coating film includes polyvinyl alcohol and Z or ethylene'bulol alcohol copolymer.
  • polybulal alcohol and ethylene'bulcoalcohol copolymer By combining polybulal alcohol and ethylene'bulcoalcohol copolymer, the gas coating properties, water resistance, weather resistance, etc. of the resulting coating film are remarkably improved.
  • the laminated film that combines Sarakuko, polyvinyl alcohol, and ethylene-but-alcohol copolymer has excellent hot water resistance and gas noriability after hydrothermal treatment.
  • the content weight ratio of each of the combination of polybulle alcohol and ethylene 'bulualcohol copolymer is 10: 0. 05 ⁇ : L0: 6 More preferred is about 10: 1.
  • the total content of the polybutal alcohol and Z or ethylene 'bulualcohol copolymer is in the range of 5 to 600 parts by weight, preferably about 50 to 400 parts by weight, with respect to 100 parts by weight of the total alkoxide. Part. If it exceeds 600 parts by weight, the brittleness of the composite polymer will increase, and the water resistance and weather resistance of the resulting laminated film will also decrease. If it is less than 5 parts by weight, the gas barrier properties will be reduced.
  • the above composition (coating liquid) is applied onto a vapor deposition film, and the composition is polycondensed by a gel-gel method to obtain a coating film.
  • a sol-gel catalyst mainly a polycondensation catalyst, tertiary amine which is substantially insoluble in water and soluble in an organic solvent is used.
  • tertiary amine which is substantially insoluble in water and soluble in an organic solvent is used.
  • the amount used is from 0.01 to 1 part by weight, preferably about 0.03 part by weight, per 100 parts by weight of the total amount of alkoxide and silane coupling agent.
  • the above composition may further contain an acid.
  • the acid is used as a catalyst for the sol-gel process, mainly as a catalyst for hydrolysis of alkoxysilane and silane coupling agents.
  • mineral acids such as sulfuric acid, hydrochloric acid and nitric acid, and organic acids such as acetic acid and tartaric acid are used.
  • the amount of the acid used is 0.001 to 0.05 moles, preferably about 0.01 mole, based on the total mole amount of the alkoxide and the alkoxide content of the silane coupling agent (eg, silicate moiety).
  • the amount of water exceeds 2 mol, the polymer obtained from the alkoxysilane and the metal alkoxide becomes spherical particles, and the spherical particles are three-dimensionally crosslinked to form a porous polymer having a low density. It becomes. Porous polymers cannot improve the gas barrier properties of the substrate film.
  • the amount of water is less than 0.8 mol, the caro-hydrolysis reaction does not proceed easily.
  • the gas barrier coating film-forming composition preferably contains an organic solvent.
  • Organic solvents include methyl alcohol, ethyl alcohol, n -propyl alcohol, Isopropyl alcohol, n-butanol, etc. are used.
  • the polybulal alcohol and the Z or ethylene ′ bulalcohol copolymer are in a state of being dissolved in a composition (coating solution) containing the above alkoxide or silane coupling agent.
  • a composition (coating solution) containing the above alkoxide or silane coupling agent is appropriately selected.
  • n-butanol is preferably used.
  • Ethylene butyl alcohol copolymer soluble in a solvent is commercially available as, for example, Soanol (trade name).
  • the amount of the organic solvent used is usually 30 to 500 parts by weight per 100 parts by weight of the total amount of the alkoxide, silane coupling agent, polyvinyl alcohol and Z or ethylene butyl alcohol copolymer, acid, and Zogel method catalyst. is there.
  • a coating solution is prepared by mixing the alkoxysilane, silane coupling agent, butyl alcohol polymer, zeolite gel method catalyst, acid, water, organic solvent, and metal alkoxide as necessary.
  • this coating solution is applied to the substrate film by a conventional method and dried.
  • the polycondensation of the above alkoxysilane, metal alkoxide, silane coupling agent and butyl alcohol polymer further proceeds to form a composite polymer layer.
  • the above operation is repeated to load a plurality of composite polymer layers.
  • the film coated with the coating solution is heated at a temperature of 150 ° C to 250 ° C for 30 seconds to 10 minutes.
  • a coating liquid mainly composed of alkoxysilane, a silane coupling agent, and a butyl alcohol polymer is applied to the surface of the substrate on which the vapor-deposited film is provided, and 150 ° C to 250 ° C.
  • gas barrier coating films mainly composed of butyl alcohol polymer have significantly reduced oxygen barrier properties in a high temperature and humidity atmosphere (40 ° C, 90 Rh%).
  • the gas noble laminated film of the present invention has an excellent oxygen barrier property even in an atmosphere with high temperature and humidity.
  • the gas-based coating film itself composed mainly of vinyl alcohol polymer does not have a water vapor barrier property, as in the present invention.
  • a coating liquid having the above composition to the surface of the vapor-deposited film of the base material and subjecting it to a heat treatment at a predetermined temperature, the water vapor noreality is remarkably improved.
  • the reason for this is not limited to this. That is, in the coating film, a bridging reaction occurs in which the hydrogen alcohol polymer and the hydrolyzate of alkoxysilane are hydrogen-bonded or chemically bonded, and the butyl alcohol polymer is crystallized.
  • Oxygen barrier property and water vapor noreality are excellent due to the tight adhesion of the vapor-deposited film and the gas-noble coating film by hydrogen bonding or chemical bonding over the interface between the film and the gas-noria coating film. Can be obtained.
  • the gas-nolia coating film has a crosslinked structure by hydrogen bonds, chemical bonds, or the like, the molecular motion of the polymer is restricted even when placed in an atmosphere with high temperature and humidity. For this reason, it is considered that high gas noria properties are exhibited.
  • the heating temperature is less than 150 ° C, the oxygen noreness and water vapor noreia are not improved. In addition, at temperatures exceeding 250 ° C, the substrate is damaged, and curls are generated, resulting in a decrease in gas noliativity.
  • the heating temperature is preferably 180 to 200 ° C.
  • an ethylene 'butyl alcohol copolymer or a composition using both an ethylene vinyl alcohol copolymer and polyvinyl alcohol may be used instead of the butyl alcohol polymer.
  • Laminated films using both ethylene 'bulualcohol copolymer and polybulualcohol further improve the gas barrier properties after hot water treatment such as boil treatment and retort treatment.
  • the following laminated film is preferably formed in order to improve the gas barrier property after the hot water treatment.
  • a composition containing polybulual alcohol is applied in advance to at least one surface of the base film to form a first composite polymer layer, and then the ethylene 'bulualcohol is coated on the coated surface.
  • a composition containing the copolymer is applied to further form a second composite polymer layer.
  • a plurality of gas-nolia coating films may be formed on a base film.
  • Gas barrier properties are further improved by providing multiple layers of gas-nolia coating films. Can be achieved.
  • the function of the gas noble coating film will be described as an example using alkoxysilane.
  • Alkoxysilane and metal alkoxide are hydrolyzed by the added water.
  • the acid serves as a catalyst for hydrolysis.
  • the generated hydroxyl power proton is taken away by the action of the sol-gel method catalyst, and hydrolyzed products are dehydrated and polycondensed.
  • the silane coupling agent is simultaneously hydrolyzed by the acid catalyst, and the alkoxy group becomes a hydroxyl group.
  • the opening of the epoxy group also occurs due to the action of the base catalyst, generating a hydroxyl group.
  • a polycondensation reaction between the hydrolyzed silane coupling agent and the hydrolyzed alkoxide also proceeds. Furthermore, since there is polyvinyl alcohol or ethylene'bulualcohol copolymer, or polyvinyl alcohol and ethylene'vinyl alcohol in the reaction system, the reaction with the hydroxyl group of polyvinyl alcohol and ethylene'vinyl alcohol copolymer also occurs.
  • the resulting polycondensate is a composite polymer containing an inorganic part that also has a bonding force, such as Si—O—Si, Sj—OZr, Si—O—Ti, and an organic part derived from the silane coupling agent. .
  • a linear polymer having a partial structural formula represented by the formula (wherein R represents an alkyl group) and further having a portion derived from a silane coupling agent is first formed.
  • This polymer has OR groups (alkoxy groups such as ethoxy groups) branched from linear polymers.
  • This OR group is hydrolyzed to become an OH group using the acid present as a catalyst, and the OH group is first deprotonated by the action of the sol-gel catalyst (base catalyst), and then polycondensation proceeds. That is, this OH group has the following formula:
  • R represents hydrogen or an alkyl group
  • ml, m2 and m3 represent an integer of 1 or more, and R represents an alkyl group).
  • the above reaction proceeds at room temperature, and the viscosity of the coating solution increases during preparation.
  • this coating solution is applied to the base film and heated to remove the solvent and the alcohol produced by the polycondensation reaction, the polycondensation reaction is completed, and a transparent composite polymer layer is formed on the base film. It is formed.
  • the composite polymers between the layers are also condensed, and the layers are firmly bonded to each other.
  • the organic reactive group of the silane coupling agent and the hydroxyl group generated by hydrolysis are bonded to the hydroxyl group on the substrate film surface, the adhesion between the substrate film surface and the composite polymer layer is also good.
  • a linear polymer has crystallinity and has a structure in which a large number of minute crystals are embedded in an amorphous part.
  • a crystal structure is the same as that of a crystalline organic polymer (for example, a salty vinylidene polypolyalcohol), and a polar group (OH group) is partially present in the molecule, and the cohesive energy of the molecule is Excellent gas barrier properties due to high molecular chain rigidity.
  • the vapor-deposited film of inorganic oxide and the gas barrier coating film form, for example, a chemical bond, a hydrogen bond, or a coordinate bond by hydrolysis' cocondensation reaction, and thus an inorganic acid.
  • the adhesion between the deposited film and the gas barrier coating film is improved, and the synergistic effect of the two layers can provide a better gas barrier effect.
  • the gas barrier coating film forming composition for example, a roll coat such as a gravure coater, a spray coat, a spin coat, a datebing, a brush, a bar code, an applicator or the like
  • a roll coat such as a gravure coater, a spray coat, a spin coat, a datebing, a brush, a bar code, an applicator or the like
  • the gas barrier coating film of the present invention having a dry-baked film thickness of 0.01-30 ⁇ m, preferably 0.1-10 ⁇ m, can be formed by one or more coatings.
  • a primer agent or the like can be applied in advance onto the vapor-deposited film of the inorganic oxide.
  • a vapor deposition layer is further provided, and the gas noble coating film is formed on the vapor deposition layer in the same manner as described above. It may be formed.
  • the gas-noble laminated film of the present invention is useful as a packaging material because it has the excellent characteristics as described above, and particularly has a gas barrier property (because it has excellent 0)
  • the gas nore laminate film of the present invention has excellent gas nore property after hydrothermal treatment, particularly after high pressure hydrothermal treatment (retort treatment).
  • the printed layer is composed of one or more ordinary ink vehicles as the main component, and if necessary, a plasticizer, a stabilizer, an antioxidant, a light stabilizer, an ultraviolet absorber, a curing agent, a bridge.
  • Add one or more additives such as bridging agents, lubricants, antistatic agents, fillers, etc., add colorants such as dyes and pigments, and use solvents, diluents, etc. Kneaded to prepare an ink composition, and then the ink composition is used. For example, gravure printing, offset printing, letterpress printing, screen printing, transfer printing, flexographic printing, etc. are used.
  • a desired printed pattern having characters, figures, symbols, patterns, and the like can be printed to form a printed pattern layer.
  • the ink vehicle known ones such as sesame oil, drill oil, soybean oil, hydrocarbon oil, rosin, rosin ester, rosin-modified resin, shellac, alkyd resin, phenolic resin Fatty acid, maleic acid resin, natural resin, hydrocarbon resin, polyvinyl chloride resin, polyvinyl acetate resin, polystyrene resin, polybutyl petroleum resin, acrylic or methacrylic resin , Polyamide resin, polyester resin, polyurethane resin, epoxy resin, urea resin, melamine resin, aminoalkyd resin, nitrocellulose, ethyl cellulose, salty rubber, cyclized rubber, One or more of the others can be used.
  • sesame oil drill oil, soybean oil, hydrocarbon oil, rosin, rosin ester, rosin-modified resin, shellac, alkyd resin, phenolic resin Fatty acid, maleic acid resin, natural resin, hydrocarbon resin, polyvinyl chloride resin, polyvinyl acetate resin,
  • the laminating adhesive layer constituting the laminated material will be described.
  • the adhesive constituting the adhesive layer for laminating include, for example, polyvinyl acetate adhesives, homopolymers such as ethyl acrylate, butyl acrylate, 2-ethylhexyl ester, and methacrylic methacrylates with these. Copolymers such as methyl acrylate, acrylonitrile, styrene, etc.
  • Adhesives phenolic resin adhesives, epoxy adhesives, polyurethane adhesives, reactive (meth) acrylic adhesives
  • Adhesives such as rubber adhesives made of chloroprene rubber, nitrile rubber, styrene-butadiene rubber, etc., silicone adhesives, alkali metal silicates, low melting point glass, etc. can be used. .
  • the above adhesive is water-based, solution-type, emulsion type, , Slip composition It can be used in any form, such as film, sheet, powder, solid, etc.Furthermore, regarding the adhesion mechanism, chemical reaction type, solvent volatilization type, hot melt type, hot pressure type, etc. It's also a form of misalignment.
  • the above-mentioned adhesive is applied to the entire surface including the printing layer by, for example, a roll coating method, a gravure roll coating method, a kiss coating method, a coating method such as others, or a printing method.
  • the adhesive layer for laminating can be formed by drying the solvent or the like, and the coating or coating amount is preferably about 0.1 to LOgZm 2 (dry state).
  • the heat-sealable resin layer constituting the heat-sealable resin layer is not particularly limited as long as it can be melted by heat and mutually melted.
  • low density polyethylene medium density polyethylene, high density polyethylene, linear (Linear) Low density polyethylene, polypropylene, ethylene-butyl acetate copolymer, ionomer resin, ethylene acrylate copolymer, ethylene acrylic acid copolymer, ethylene-methacrylic acid copolymer, ethylene propylene copolymer
  • Acid-modified polyolefin resins such as polymers, methylpentene polymers, polyethylene, polypropylene, etc. modified with unsaturated carboxylic acids such as acrylic acid, methacrylic acid, maleic anhydride, fumaric acid, etc.
  • the heat-sealable resin layer can be formed by dry-laminating the above-mentioned resin film or sheet on the surface of the laminating adhesive layer.
  • the film or sheet of the above-mentioned resin can be used in a single layer or a multilayer, and the thickness of the film or sheet of the above-mentioned resin is preferably about 5 ⁇ m to 300 ⁇ m. Is about 10 m to 110 m.
  • the thickness of the film or sheet of the resin is such that the film of the inorganic oxide constituting the film or sheet of the resin having the film of inorganic oxide is formed when the bag-like container body is made.
  • linear low density polyethylene among the above-described film or sheet of resin. Since linear low density polyethylene has adhesiveness, it has little advantage in improving impact resistance with less propagation of breakage, and since the inner layer is always in contact with the contents, It is also effective for preventing degradation of environmental stress cracking resistance.
  • other low-fat can be blended with linear low-density polyethylene.
  • the heat resistance is slightly inferior in a high-temperature environment.
  • seal stability to deteriorate
  • tearability is improved and it contributes to easy opening.
  • the linear low density polyethylene specifically, a film or sheet of an ethylene ⁇ -olefin copolymer polymerized using a metalocene catalyst can be used in the same manner.
  • the ethylene ⁇ -olefin copolymer film or sheet polymerized using the above-mentioned meta-octacene catalyst include, for example, a combination of a meta-orcene complex such as a catalyst using a combination of zirconocene dichloride and methylalumoxane, and an alumoxane.
  • a meta-orcene complex such as a catalyst using a combination of zirconocene dichloride and methylalumoxane
  • an alumoxane alumoxane.
  • the catalyst that is, a film or sheet of ethylene mono-olefin copolymer obtained by polymerization using a meta-octacene catalyst can be used.
  • the meta-catacene catalyst is also called a single-site catalyst because the current catalyst is called a multi-site catalyst with heterogeneous active sites, while the active sites are uniform. is there.
  • the product name “Kernel” manufactured by Mitsubishi Chemical Co., Ltd. the product name “Epoliyu” manufactured by Mitsui Petrochemical Co., Ltd.
  • the film or sheet constituting the heat-sealable resin layer can be used as a single layer or multiple layers, and the thickness thereof is about 5 m to 300 m, preferably about 10 to 100 m. It is.
  • a film or sheet of an ethylene ⁇ -olefin copolymer polymerized using a meta-dioxide catalyst is used as the resin film having heat sealability as described above. Has the advantage that low temperature heat sealability is possible when the bag is manufactured.
  • a resin film may be sandwiched between the adhesive layer for laminating and the heat-sealable resin layer.
  • the strength and puncture resistance and the like are improved.
  • a resin film it has excellent mechanical, physical, chemical, etc. strength, excellent puncture resistance, etc., heat resistance, moisture resistance, pinhole resistance, transparency, etc. It is possible to use a film or sheet of a resin having excellent properties.
  • polyester-based resins polyamide-based resins, polyaramid-based resins, polypropylene-based resins, polycarbonate-based resins, polyacetal-based resins, fluorine-based resins, and other tough resins.
  • No oil film or sheet can be used.
  • the above-described film or sheet of resin is used, and this is used, for example, by using the above-mentioned laminating adhesive or the like by a dry laminating method or the like. And the heat-sealable resin layer.
  • any of an unstretched film or a stretched film stretched in a uniaxial direction or a biaxial direction can be used. Further, in the present invention, the thickness of the resin film or sheet is too thick if it can be kept to the minimum necessary for strength, puncture resistance, etc. On the other hand, if it is too thin, the strength, puncture resistance, etc. will be reduced, which is preferable.
  • packaging bags are subjected to harsh physical and chemical conditions, so the laminated material constituting the packaging bags is required to have strict packaging suitability, deformation prevention strength, drop Various conditions such as impact strength, pinhole resistance, heat resistance, sealability, quality maintenance, workability, hygiene, etc. are required. Therefore, in the present invention, in addition to the above materials, other materials satisfying the above various conditions can be arbitrarily used.
  • low density polyethylene low density polyethylene, medium density polyethylene, high density polyethylene, linear low density polyethylene, polypropylene, ethylene propylene copolymer, ethylene acetate butyl copolymer, ionomer resin, ethylene acrylate acrylate copolymer , Ethylene acrylic acid or methacrylic acid copolymer, methyl pentene polymer, polybutene resin, poly salt vinyl resin, polyvinyl acetate resin, poly salt vinyl-redene resin, Salt-Buyl—Salt-vinylidene copolymer, poly (meth) acrylic resin, polyacryl-tolyl resin, polystyrene resin, acrylonitrile-styrene copolymer (AS resin), acrylonitrile —Butadiene monostyrene copolymer (ABS resin), polyester resin, polyamide resin, polycarbonate Known resins such as saponified resin, polyvinyl alcohol-based resin, saponified ethylene a
  • the film or sheet may be any of unstretched, uniaxially or biaxially stretched, and the like.
  • the thickness is arbitrary, but a range force of several ⁇ m to 300 ⁇ m can be selected and used.
  • the film or sheet may be any form of film such as extrusion film formation, inflation film formation, and coating film.
  • an inorganic oxide vapor-deposited film is provided on one surface of the base film, and then a gas barrier coating film is provided on the inorganic oxide vapor-deposited film.
  • the primer layer, the printed pattern layer, and the adhesive layer for laminating are sequentially formed using various coating methods or printing methods, or dry laminating methods, etc.
  • a heat-sealable resin layer is provided on the laminating adhesive layer, and further, there is strength between the laminating adhesive layer and the heat-sealable resin layer,
  • a laminated material for a packaging bag can be produced by laminating a film of a resin having excellent puncture resistance.
  • a packaging bag using the above laminated material will be described.
  • the bag-shaped container body consisting of wearing bags Then, using the above-mentioned laminated material having gas noorious laminated film strength, this laminated material is folded in two, and the heat-sealable resin layer faces are overlapped, and the end portions are heat-sealed.
  • a package can be manufactured by forming a cylindrical package, then sealing the bottom to fill the contents, and sealing the top.
  • the laminated material as described above is folded or overlapped so that the inner layer faces each other, and the peripheral edge thereof is, for example, a side seal type or a two-side seal type.
  • a side seal type or a two-side seal type for example, Three-sided seal type, four-sided seal type, envelope sticker seal type, jointed seal type (pillow seal type), pleated seal type, flat bottom seal type, square bottom seal type, gusset type, etc.
  • Various types of wearing bags can be manufactured by sealing.
  • a self-supporting packaging bag (standing bouch) is also possible.
  • the heat sealing can be performed by a known method such as a bar seal, a rotary roll seal, a belt seal, an impulse seal, a high frequency seal, an ultrasonic seal, and the like.
  • a biaxially stretched polyethylene terephthalate film having a thickness of 12 / zm is used, and this film is mounted on a feeding roll of a plasma chemical vapor deposition apparatus.
  • a 200 A thick silicon oxide vapor deposition film was formed on the corona-treated surface of the terephthalate film.
  • Hexamethyldisiloxane Oxygen gas: Helium 1.0: 3.0: 3.0 (unit: slm)
  • composition (b) prepared in advance in an EVOH solution of composition (a) dissolved in a mixed solvent of EVOH, isopropyl alcohol, and ion-exchanged water.
  • a hydrolyzed liquid consisting of ethyl silicate 40, isopropyl alcohol, acetyl acetylacetone aluminum, and ion exchange hydraulic power, and then prepare the poly (alcohol) aqueous solution, acetic acid, isopropyl alcohol and ion exchange of composition (c) prepared in advance.
  • a mixed solution consisting of water was added and stirred to obtain a colorless and transparent composition for forming a barrier coating film.
  • the plasma-treated surface formed in the above (1) is coated with the gas noble coating film composition prepared above by a gravure roll coating method, and after coating, a drying oven at 200 ° C is applied. By passing through the inside at a speed of 300 mZ, heat treatment is performed to form a gas-noble coating film with a thickness of 0.4 g / m 2 (dry operation state), and a gas barrier laminated film is produced. .
  • a biaxially stretched polyethylene terephthalate film having a thickness of 12 / zm is used, and this film is mounted on a feeding roll of a plasma chemical vapor deposition apparatus.
  • a 200 A thick silicon oxide vapor deposition film was formed on the corona-treated surface of the terephthalate film.
  • the plasma-treated surface formed in the above (1) was coated by the gravure roll coat method with the gas-nore coating film composition prepared above. After coating, it passes through a drying oven at 200 ° C at a speed of 200 mZ, and then heat treatment is performed to form a gas noble coating film with a thickness of 0.3 g / m 2 (in dry operation). 7 made of conductive laminated film.
  • a biaxially stretched polyethylene terephthalate film with a thickness of 12 / zm is used and mounted on the feed roll of a plasma chemical vapor deposition apparatus. Under the conditions shown below, the corona of the biaxially stretched nylon film is used. A 200 A thick silicon oxide vapor deposition film was formed on the treated surface.
  • composition (b) prepared in advance in an EVOH solution in which EVOH of composition (a) was dissolved in a mixed solvent of isopropyl alcohol and ion-exchanged water
  • Ethyl silicate 40, isopropyl alcohol, acetylacetone aluminum, and a hydrolyzed liquid such as ion exchange hydraulic power are added and stirred, and the composition prepared in advance (polybulal alcohol aqueous solution, silane coupling agent, acetic acid, isopropyl alcohol)
  • a liquid mixture consisting of ion exchange hydraulic power and the like was added and stirred to obtain a colorless and transparent composition for a noble coating film.
  • the plasma-treated surface formed in (1) above was coated by the gravure roll coating method with the gas-novel coating film composition prepared above, and then in a 180 ° C drying furnace.
  • a biaxially stretched polyethylene terephthalate film having a thickness of 12 / zm was used, and this was mounted on a feeding roll of a plasma chemical vapor deposition apparatus, and then the above-mentioned biaxially stretched polyethylene under the conditions shown below.
  • a 200 A thick silicon oxide vapor deposition film was formed on the corona-treated surface of the terephthalate film.
  • composition prepared in accordance with the composition shown in Table 4 below (b) polybutyl alcohol, ethanol, and an ethyl silicate having a composition (a) prepared in advance in a mixed solution having ion exchange hydraulic power , Ethanol, hydrochloric acid, ion-exchanged water, and a hydrolytic solution composed of a silane coupling agent were added and stirred to obtain a colorless transparent coating composition for a barrier coating film.
  • the gas-noreous composition prepared above is applied to the plasma-treated surface formed in (1) above by the gravure roll coating method. Coated with 200 ° C drying oven after coating By passing through the inside at a speed of 200 mZ, heat treatment was performed to form a gas barrier coating film having a thickness of 0.3 gZm 2 (in a dry operation state) to produce a gas barrier laminated film.
  • a biaxially stretched polyethylene terephthalate film with a thickness of m was prepared as a base film, and this was installed in a plasma chemical vapor deposition apparatus with a three-chamber force.
  • HMDS O hexamethyldisiloxane
  • the mixing ratio of the source gases is set to HMDSO: 0: He
  • the third film forming chamber was not used.
  • the source gas was introduced into the first film-forming chamber and the second film-forming chamber, respectively. While transporting the refractory film at a line speed of 200 mZmin, electric power was applied, and on the one corona-treated surface of the biaxially stretched polyethylene terephthalate film with a thickness of 12 ⁇ m, the thickness of the first layer was 60A, the second layer A two-layered silicon oxide layer having a thickness of 70A and a total thickness of 130A was formed to form a deposited film.
  • Table 5 (wt) a Ethyl Silicate 40 (Corcotone Earth) 11. 460 Isopropyl Alcohol 17. 662
  • the plasma-treated surface formed in (1) above was coated by the gravure roll coating method with the gas noble coating film composition prepared above, and then in a 180 ° C drying furnace.
  • a biaxially stretched polyethylene terephthalate film having a thickness of 12 m was prepared as a substrate film, and this was mounted on a plasma chemical vapor deposition apparatus having a three-chamber force.
  • HMDSO which is an organic silicon compound as a raw material
  • oxygen gas and helium which was an inert gas, supplied from a gas supply device.
  • the mixing ratio of the source gases is HMDSO: 0: He
  • the source gas is introduced into the first film-forming chamber, the second film-forming chamber, and the third film-forming chamber, respectively. While feeding a 12 m long biaxially stretched polyethylene terephthalate film at a line speed of 300 mZmin, power was applied to the first layer on one corona-treated surface of the 12 ⁇ rn thick biaxially stretched polyethylene terephthalate film. A three-layered silicon oxide layer consisting of 40A of thickness, 45A of second layer, 45A of third layer, 45A of third layer and 130A total thickness is formed to form a plurality of deposited films Formed.
  • composition prepared according to the composition shown in Table 6 below (B) A composition prepared in advance in a mixed solution consisting of polyvinyl alcohol, ethanol and ion exchange hydraulic power. A hydrolyzed solution composed of silicate, ethanol, hydrochloric acid, ion-exchanged water, and a silane coupling agent was added and stirred to obtain a colorless transparent noble coating film composition.
  • the plasma-treated surface formed in the above (1) was coated by the gravure roll coating method with the above-prepared composition for a gas-nore coating film. After coating, it passes through a drying oven at 200 ° C at a speed of 200 mZ, and heat treatment is performed to form a gas noble coating film with a thickness of 0.3 g / m 2 (dry operation state). Made 7 gas barrier laminated films. [0201] Example 7
  • a biaxially stretched polyethylene terephthalate film having a thickness of 12 m was used as the base film, and plasma treatment was performed on the surface to be deposited as a pre-deposition treatment.
  • the above-described biaxially stretched polyethylene terephthalate film is mounted on a feed roll of a take-up vacuum vapor deposition apparatus, which is fed out, and aluminum is applied to the plasma-treated surface of the biaxially stretched polyethylene terephthalate film.
  • a vacuum deposition method using an electron beam (EB) heating system while supplying oxygen gas a 200A thick evaporated aluminum oxide film was formed under the following deposition conditions.
  • Electron beam power 25kW
  • Deposition surface Corona-treated surface
  • a plasma-treated surface was formed in which the surface tension of the deposited film surface of aluminum was improved to 54dyneZcm or more.
  • the plasma-treated surface formed in the above (1) was coated by the gravure roll coating method with the above-prepared composition for gas-nore coating film.
  • heat treatment is performed by passing through a drying oven at 200 ° C at a speed of 300 mZ to form a gas noble coating film with a thickness of 0.4 g / m 2 (dry operation state). Made 7 gas barrier laminated films.
  • Example 8 A biaxially stretched polyethylene terephthalate film having a thickness of 12 ⁇ m was used as a base film, and a plasma treatment was performed on the surface to be deposited as a pre-deposition treatment. Next, the above-mentioned biaxially stretched polyethylene terephthalate film is mounted on a feed roll of a take-up type vacuum vapor deposition apparatus, which is fed out, and aluminum is used as a deposition agent on the plasma treated surface of the biaxially stretched polyethylene terephthalate film. Then, an oxygen-aluminum oxide film having a thickness of 200 A was formed under the same deposition conditions as in Example 7 by vacuum deposition using an electron beam (EB) heating method while supplying oxygen gas.
  • EB electron beam
  • Example 2 a plasma treated surface was formed in which the surface tension of the evaporated aluminum oxide film surface was increased to 54 dyne Zcm or more.
  • the plasma-treated surface formed in the above (1) was coated by the gravure roll coating method with the above-prepared composition for gas-nore coating film.
  • heat treatment is performed by passing through a drying oven at 200 ° C at a speed of 300 mZ to form a gas noble coating film with a thickness of 0.4 g / m 2 (dry operation state). Made 7 gas barrier laminated films.
  • a biaxially stretched polyethylene terephthalate film having a thickness of 12 m was used as the base film, and the surface subjected to vapor deposition was subjected to corona treatment as a pretreatment.
  • the above-mentioned biaxially stretched polyethylene terephthalate film is mounted on a take-up roll of a take-up vacuum deposition apparatus, and this is fed out, and aluminum is used as a deposition source on the corona treatment surface of the biaxially stretched polyethylene terephthalate film.
  • an oxygen-aluminum oxide film having a thickness of 2 OOA was formed under the same deposition conditions as in Example 8 by vacuum deposition using an electron beam (EB) heating method while supplying oxygen gas.
  • EB electron beam
  • Example 7 a plasma-treated surface was formed in which the surface tension of the evaporated film surface of aluminum oxide was improved to 54 dyne Zcm or more.
  • a colorless and transparent barrier coating composition was obtained in the same manner as in Example 3.
  • the plasma-treated surface formed in the above (1) was coated by the gravure roll coating method with the above-prepared composition for gas-nore coating film. After coating, it passes through a drying oven at 200 ° C at a speed of 200 mZ, and heat treatment is performed to form a gas noble coating film with a thickness of 0.3 g / m 2 (dry operation state). Made of gas barrier laminate film.
  • a biaxially stretched polyethylene terephthalate film having a thickness of 12 ⁇ m was used as a substrate film, and a primer coat layer was provided on the surface to be deposited.
  • the above-mentioned biaxially stretched polyethylene terephthalate film is mounted on a feed roll of a take-up type vacuum vapor deposition apparatus, which is fed out, and aluminum is deposited on the primer layer surface of the biaxially stretched polyethylene terephthalate film.
  • an oxygen-aluminum oxide film having a thickness of 200 A was formed under the same deposition conditions as in Example 7 by vacuum deposition using an electron beam (EB) heating method while supplying oxygen gas. .
  • EB electron beam
  • Example 7 a plasma-treated surface was formed in which the surface tension of the evaporated film surface of aluminum oxide was improved to 54 dyne Zcm or more.
  • a biaxially stretched polyethylene terephthalate film having a thickness of 12 m was used as a base film, and a primer coat layer was provided on the surface to be deposited.
  • the above-mentioned biaxially stretched polyethylene terephthalate film is mounted on a feed roll of a take-up type vacuum vapor deposition apparatus, which is fed out, and aluminum is deposited on the primer layer surface of the biaxially stretched polyethylene terephthalate film.
  • an oxygen-aluminum oxide film having a thickness of 200 A was formed under the same deposition conditions as in Example 7 by vacuum deposition using an electron beam (EB) heating method while supplying oxygen gas. .
  • EB electron beam
  • Example 7 a plasma-treated surface was formed in which the surface tension of the evaporated film surface of aluminum oxide was improved to 54 dyne Zcm or more.
  • a biaxially stretched nylon 6 film with a thickness of 15 m was used as the film, and a plasma treatment was performed as a pretreatment.
  • the above biaxially stretched nylon 6 film is mounted on a take-up roll of a take-up vacuum deposition apparatus, which is fed out, and aluminum is used as a deposition source on the plasma-treated surface of the biaxially stretched nylon 6 film.
  • a 200A thick evaporated aluminum oxide film was formed under the same deposition conditions as in Example 7 by vacuum deposition using an electron beam (E8) heating method.
  • the gas barrier laminate films produced in Examples 1 to 12 were measured for oxygen permeability and water vapor permeability.
  • the oxygen permeability was measured with a measuring instrument (model name, OXTRAN) manufactured by MOCON, USA under the conditions of a temperature of 23 ° C. and a humidity of 90% RH.
  • the water vapor transmission rate was measured with a measuring instrument (model name, PERMATRAN) manufactured by MOC ON, USA under conditions of a temperature of 40 ° C. and a humidity of 90% RH.

Abstract

 優れたガスバリア性を有しつつ透明性を備え、耐衝撃性にも優れる、ガスバリア性積層フィルムおよびその製造方法を提供する。基材上に無機酸化物の蒸着膜が設けられ、その蒸着膜上にガスバリア性塗布膜が設けられてなるガスバリア性積層フィルムであって、基材の、蒸着膜が形成される面側には、前処理またはプライマーコート処理が施されてなり、前記ガスバリア性塗布膜は、前記無機酸化物膜上にガスバリア性塗工液を塗布した後、加熱することにより形成されたものである、ことを特徴とする。

Description

明 細 書
ガスバリア性積層フィルムおよびその製造方法
技術分野
[0001] 本発明は、ガスノリア性を有する積層フィルムおよびその製造方法に関し、より詳 細には、優れたガスノリア性を有しつつ透明性を備え、耐衝撃性にも優れる、ガスバ リア性積層フィルムおよびその製造方法に関する。
背景技術
[0002] ガスバリア性を備えた包装材料として、従来、基材にアルミニウム箔層を設けた包装 材料が使用されている。しかし、このような包装材料は、安定したガスノリア性が得ら れるものの、ノリア層としてのアルミニウム箔層を備えているため焼却適性が劣り、使 用後の廃棄処分が容易ではないという問題があった。また、アルミニウム箔層を備え て 、るため、透明性を有する包材は得られな 、と 、う問題もあった。
[0003] このような問題を解決するため、ポリ塩化ビ-リデン(PVDC)やエチレン ビュルァ ルコール共重合体 (EVOH)力 なるバリア層を備えた包装材料が開発されて 、る。
[0004] し力しながら、 PVDCは塩素を含有するため、使用後に焼却することにより塩素ガス が発生し、環境衛生上好ましくないという問題がある。一方、 EVOHは、酸素ガスバリ ァ性が高ぐかつ、香味成分の吸着性が低いという長所があるものの、高湿度雰囲気 下では酸素ガスノリア性が低下してしまうという問題がある。また、 EVOHは、水蒸気 ノ リア性を有していないという問題がある。このため、ノ リア層である EVOHを水蒸気 力 遮断するために包装材料を複雑な積層構造とする必要があり、製造コストが増大 すると!/ヽつた問題も生じ得る。
[0005] 近年、高 ヽガスバリア性と保香性を安定して発揮し、かつ、透明性を有する包装材 料として、珪素酸ィ匕物や酸ィ匕アルミニウム等の無機酸ィ匕薄膜からなるバリア層を備え たフィルムが開発されている。この無機酸ィ匕物の薄膜は、無機物を真空蒸着により基 材上に付着させることにより形成され、廃棄時における環境上の問題もなぐまた、ガ スバリア性の湿度依存性もな 、ものである。
[0006] しカゝしながら、このようにして形成された珪素酸化物や酸化アルミ等の薄膜からなる ノリア層は、無機酸ィ匕物粒子が基材上に蒸着したものであるため、無機酸化物粒子 間に結晶粒界という隙間が存在し、薄膜のガスノリア性が十分ではない。そのため、 膜厚を厚く(500〜1000A)する必要がある。し力しながら、膜厚を厚くすると、延展 性に劣りクラックが生じやす 、と 、う問題があった。
[0007] また、無機酸ィ匕物の酸素原子割合が小さいほどガスバリア性は向上するが、反面、 透明性が低下するといつた問題や、基板と無機酸化物粒子との密着力が弱いこと等 の種々の問題があった。
[0008] このような問題に対し、ガスノリア性能を向上させるために、蒸着膜面上にガスバリ ァ性を有する塗布膜を設ける方法が提案されている (特開平 7— 80986号公報)。こ の塗布膜の材料としては、結晶化度が高ぐポリマーの凝集エネルギー密度が高い、 水酸基などの極性基を有するポリマーが用いられている。具体的には、ポリビュルァ ルコール、エチレン 'ビュルアルコールコポリマーが使用されている。蒸着層とガスバ リア性塗布膜との積層構成とすることにより、ガスノリア性能が向上し上記問題は解 決される。
[0009] し力しながら、水酸基やアミド基などの極性基は水分子と結合しやすぐそのガスバ リア性は環境湿度が高くなるにつれて低下する。即ち、内容物が水分を含む液体、 あるいは水分を含む食品などを入れた場合は、内容物の水分蒸気などの影響により ガスバリア性が低下し、保存中に内容物の品質の劣化をもたらすという問題があった
[0010] また、食品によっては、包装体に食品を充填後、ボイル処理、もしくはレトルト処理 によって熱水により殺菌を行うことが通常行われる力 上記ガスバリア材は処理時に ガスバリア性の劣化、接着強度などの機械的強度の劣化を引き起こし該処理方法に は適さないものであった。
発明の開示
発明が解決しょうとする課題
[0011] 本発明は、このような事情に鑑みてなされたものであり、優れたガスノリア性を有し つつ透明性を備え、耐衝撃性にも優れる、ガスバリア性積層フィルムおよびその製造 方法を提供することを目的とする。 課題を解決するための手段
[0012] 上記課題を解決するため、本発明のガスノリア性積層フィルムは、基材上に無機酸 化物の蒸着膜が設けられ、その蒸着膜上にガスバリア性塗布膜が設けられてなるガ スバリア性積層フィルムであって、
基材の、蒸着膜が形成される面側には、前処理またはプライマーコート処理が施さ れてなり、
前記ガスノリア性塗布膜は、前記無機酸ィ匕物膜上にガスノリア性塗工液を塗布し た後、加熱することにより形成されたものである、ことを特徴とするものである。
[0013] また、本発明のガスノリア性積層フィルムの製造方法は、基材を準備し、その基材 の一方の面を前処理またはプライマーコート処理し、
前記基材の処理面上に、無機酸化物の蒸着膜を形成し、
前記蒸着膜上に、ガスノリア性塗工液を塗布して、 150〜250°Cで加熱することに よりガスノリア性塗布膜を形成する、ことを特徴とするものである。
[0014] 本発明によれば、優れたガスノ リア性を有しつつ透明性を備え、耐衝撃性にも優れ る、ガスノリア性積層フィルムを得ることができる。
図面の簡単な説明
[0015] [図 1]本発明のガスノリア性積層フィルムの層構成の一例を示した模式断面図である
[図 2]本発明のガスノリア性積層フィルムの層構成の他の例を示した模式断面図であ る。
[図 3]本発明の方法に使用する化学気相蒸着装置の概略図である。
[図 4]本発明の他の態様の方法に使用する化学気相蒸着装置の概略図である。
[図 5]本発明の方法に使用する物理気相蒸着装置の概略図である。
発明を実施するための最良の形態
[0016] 本発明のガスノリア性積層フィルムについて、図面を参照しながら詳細に説明する 図 1および図 2は、本発明のガスバリア性積層フィルムの層構成の一例を示す概略 的断面図である。 [0017] まず、本発明のガスバリア性積層フィルムは、図 1に示すように、基材フィルム 1の一 方の面に、基材の表面処理を行い、表面処理 la上に無機酸化物の蒸着膜 2を設け 、さらに、その無機酸ィ匕物の蒸着膜 2の上に、ガスノ リア性塗布膜 3を設けた構成を 基本構造とするものである。
[0018] 本発明のガスバリア性積層フィルムの他の態様としては、図 2に示すように、基材フ イルム 1の一方の面に、プライマコート層 lbを設け、プライマコート層上に無機酸ィ匕物 の蒸着膜 2を設け、さらに、該無機酸化物の蒸着膜 2上にガスバリア性塗布膜 3を設 けた構成を基本構造とするものである。
[0019] 上記の例示は、本発明のガスノ リア性積層フィルムの一例であり、本発明はこれに 限定されるものではない。
例えば、図示しないが、上記の本発明の積層フィルムにおいて、無機酸化物の蒸 着膜としては、同種ないし異種力もなる 2層以上の無機酸ィ匕物の蒸着膜を重層して 構成してちょい。
次に、本発明のガスバリア性積層フィルムを構成する材料、その製造法等について 説明する。
[0020] 某材
本発明のガスノ リア性積層フィルムを構成する基材としては、化学的な ヽし物理的 強度に優れ、無機酸化物の蒸着膜を形成する条件等に耐え、それら無機酸化物の 蒸着膜等の特性を損なうことなく良好に保持し得ることができる榭脂のフィルムないし シートを使用することができる。
[0021] このような榭脂のフィルムないしシートとしては、具体的には、例えば、ポリエチレン 系榭脂あるいはポリプロピレン系榭脂等のポリオレフイン系榭脂、環状ポリオレフイン 系榭脂、ポリスチレン系榭脂、アクリロニトリル—スチレン共重合体 (AS榭脂)、アタリ 口-トリルル—ブタジエン—スチレン共重合体 (ABS榭脂)、ポリ (メタ)アクリル系榭脂 、ポリカーボネート系榭脂、ポリエチレンテレフタレート、ポリエチレンナフタレート等の ポリエステル系榭脂、各種のナイロン等のポリアミド系榭脂、ポリウレタン系榭脂、ァセ タール系榭脂、セルロース系榭脂、その他等の各種の榭脂のフィルムないしシートを 使用することができる。 [0022] 本発明にお!/、ては、上記の榭脂のフィルムな 、しシートの中でも、特に、ポリエステ ル系榭脂、ポリオレフイン系榭脂、または、ポリアミド系榭脂のフィルムないしシートを 使用することが好ましい。
[0023] 本発明にお 、て、上記の各種の榭脂フィルムな 、しシートとしては、例えば、上記 の各種の榭脂 1種ないしそれ以上を使用し、押し出し法、キャスト成形法、 Tダイ法、 切削法、インフレーション法、その他等の製膜ィ匕法を用いて、上記の各種の榭脂を単 独で製膜化する方法、あるいは、 2種以上の各種の榭脂を使用して多層共押し出し 製膜化する方法、さら〖こは、 2種以上の榭脂を使用し、製膜化する前に混合して製膜 化する方法等により、各種の榭脂のフィルムないしシートを製造し、さらに、所望によ り、例えば、テンター方式、あるいは、チューブラマ方式等を利用して 1軸ないし 2軸 方向に延伸した各種の榭脂のフィルムないしシートを使用することができる。
[0024] 本発明にお 、て、各種の榭脂フィルムな 、しシートの膜厚としては、 6〜200 μ m程 度、より好ましくは、 9〜: LOO /z m程度が好ましい。
[0025] なお、上記の各種の榭脂 1種ないしそれ以上を使用し、その製膜ィ匕に際して、例え ば、フィルムの加工性、耐熱性、耐候性、機械的性質、寸法安定性、抗酸化性、滑り 性、離形性、難燃性、抗カビ性、電気的特性、強度、その他等を改良、改質する目的 で、種々のプラスチック配合剤や添加剤等を添加することができ、その添加量として は、極く微量から数十%まで、その目的に応じて、任意に添加することができる。
[0026] 上記において、一般的な添加剤としては、例えば、滑剤、架橋剤、酸化防止剤、紫 外線吸収剤、光安定剤、充填剤、補強剤、帯電防止剤、顔料、その他等を使用する ことができ、さらには、改質用榭脂等も使用することができる。
[0027] また、本発明にお 、て、各種の榭脂フィルムな!/、しシートの表面は、無機酸化物の 蒸着膜との密接着性等を向上させるために、必要に応じて、予め、所望の表面処理 層を設けることができるものである。
[0028] 本発明において、上記の表面処理層としては、例えば、コロナ放電処理、オゾン処 理、酸素ガス若しくは窒素ガス等を用いた低温プラズマ処理、グロ一放電処理、化学 薬品等を用いて処理する酸化処理、その他等の前処理を任意に施し、例えば、コロ ナ処理層、オゾン処理層、プラズマ処理層、酸化処理層、その他等を形成して設ける ことができる。
[0029] 上記の表面前処理は、各種の榭脂のフィルムないしシートと無機酸ィ匕物の蒸着膜 との密接着性等を改善するための方法として実施するものであるが、上記の密接着 性を改善する方法として、その他、例えば、各種の榭脂のフィルムないしシートの表 面に、予め、プライマーコート剤層、アンダーコート剤層、アンカーコート剤層、接着 剤層、あるいは、蒸着アンカーコート剤層等を任意に形成して、表面処理層とするこ とちでさる。
[0030] 上記の前処理のコート剤層としては、例えば、ポリエステル系榭脂、ポリアミド系榭 脂、ポリウレタン系榭脂、エポキシ系榭脂、フエノール系榭脂、(メタ)アクリル系榭脂、 ポリ酢酸ビュル系榭脂、ポリエチレンある 、はポリプロピレン等のポリオレフイン系榭 脂あるいはその共重合体ないし変性榭脂、セルロース系榭脂、その他等をビヒクルの 主成分とする榭脂組成物を使用することができる。
[0031] 蒸雄
次に、本発明のガスノ リア性積層フィルムを構成する蒸着膜について説明する。本 発明においては、蒸着膜は、化学気相成長法または物理気相成長法により形成する ことができる。
[0032] 化学気木目 法による flfの Hfe
化学気相成長法として、具体的には、例えば、プラズマ化学気相成長法、熱化学 気相成長法、光化学気相成長法等の化学気相成長法(chemical Vapor Deposition 法、 CVD法)を用いて形成することができる。
[0033] さらに具体的には上記の榭脂のフィルムないしシートの一方の面に、有機珪素化合 物等の蒸着用モノマーガスを原料とし、キヤリヤーガスとして、アルゴンガス、ヘリウム ガス等の不活性ガスを使用し、さらに酸素を供給ガスとして使用し、かつ低温プラズ マ発生装置等を利用する低温プラズマ化学気相成長法を用いて酸化珪素等の無機 酸化物の蒸着膜を形成することができる。
[0034] 上記にぉ 、て、低温プラズマ発生装置としては、例えば、高周波プラズマ、パルス 波プラズマ、マイクロ波プラズマ等の発生装置を使用することができる力 本発明に おいては、高活性の安定したプラズマを得るために、高周波プラズマ方式による発生 装置を使用することが望ましい。
[0035] 具体的に、上記のプラズマ化学気相成長法による無機酸ィ匕物の蒸着膜の形成法 についてその一例を例示して説明する。図 3は、上記のプラズマ化学気相成長法に よる無機酸ィ匕物の蒸着膜の形成法についてその槻要を示す低温プラズマ化学気相 成長装置の概略的構成図である。
[0036] 図 3に示すように、本発明においては、プラズマ化学気相成長装置 21の真空チヤ ンバー 22内に配置された巻き出しロール 23から樹脂のフィルムないしシートを繰り出 し、さらに、その榭脂フィルムないしシートを、補助ロール 24を介して所定の速度で冷 却'電極ドラム 25周面上に搬送する。
[0037] 本発明においては、ガス供給装置 26, 27および原料揮発供給装置 28から酸素ガ ス、不活性ガス、有機珪素化合物等の蒸着用モノマーガス、その他等を供給し、それ らからなる蒸着用混合ガス組成物を調整しなから原料供給ノズル 29を通して真空チ ヤンバー 22内に該蒸着用混合ガス組成物を導入し、そして、上記の冷却'電極ドラ ム 25周面上に搬送された榭脂のフィルムないしシートの上に、グロ一放電プラズマに よってプラズマを発生させ、これを照射して、酸化珪素等の無機酸化物の蒸着膜を 形成し、製膜化する。
[0038] 本発明においては、その際に、冷却 ·電極ドラム 25は、チャンバ一外に配置されて いる電源 31から所定の電力が印加されており、また、冷却 ·電極ドラム 25の近傍には 、マグネット 32を配置してプラズマの発生が促進されており、次いで、上記で酸化珪 素等の無機酸ィ匕物の蒸着膜を形成した榭脂のフィルムないしシートをガイドロール 3 3を介して巻き取りロール 34に巻き取って、無機酸化物の蒸着膜を有する榭脂フィル ムな 、しシートを製造することができる。
[0039] 上記の例示は、その一例を例示するものであり、これによつて本発明は限定される ものではない。
[0040] 図示しないが、本発明においては、無機酸化物の蒸着膜としては、無機酸化物の 蒸着膜の 1層だけではなぐ 2層あるいはそれ以上を積層した多層膜の状態でもよぐ また、使用する材料も 1種または 2種以上の混合物で使用し、また、異種の材質で混 合した無機酸ィ匕物の蒸着膜を構成することもできる。 [0041] 上記において、真空チャンバ一内を真空ポンプにより減圧し、真空度 1 X 10―1〜 1 X 10_8Torr程度、好ましくは、真空度 1 X 10一3〜 1 X 10_7Torr程度に調製すること が好ましい。
[0042] また、原料揮発供給装置にお!ヽては、原料である有機珪素化合物を揮発させ、ガ ス供給装置から供給される酸素ガス、不活性ガス等と混合させ、この混合ガスを、原 料供給ノズルを介して真空チャンバ一内に導入する。
[0043] この場合、混合ガス中の有機珪素化合物の含有量は 1〜40%程度、酸素ガスの含 有量は 10〜70%程度、不活性ガスの含有量は 10〜60%程度の範囲とすることが でき、例えば、有機珪素化合物と酸素ガスと不活性ガスとの混合比を 1: 6: 5〜1: 17 : 14程度とすることができる。
[0044] 一方、冷却 ·電極ドラムには、電極カゝら所定の電圧が印加されているため、真空チ ヤンバー内の原料供給ノズルの開口部と冷却 ·電極ドラムとの近傍でグロ一放電ブラ ズマが生成され、このグロ一放電プラズマは、混合ガス中の 1つ以上のガス成分から 導出されるものであり、この状態において、榭脂のフィルムないしシートを一定速度で 搬送させ、グロ一放電プラブマによって、冷却'電極ドラム周面上の樹脂のフィルムな いしシートの上に、酸化珪素等の無機酸化物の蒸着膜を形成することができる。
[0045] なお、このときの真空チャンバ一内の真空度は、 1 X 10―1〜 1 X 10_4Torr程度、 好ましくは、真空度 1 X 10―1〜 1 X 10_2Torr程度に調製することが好ましぐまた、 榭脂フィルムの搬送速度は、 10〜300mZ分程度、好ましくは、 50〜150m/分程 度に調製することが好ましい。
[0046] また、上記のプラズマ化学気相成長装置にぉ 、て、酸化珪素等の無機酸化物の蒸 着膜の形成は、榭脂のフィルムないしシートの上に、プラズマ化した原料ガスを酸素 ガスで酸ィ匕しながら SiOxの形で薄膜状に形成されるので、形成される酸ィ匕珪素等の 無機酸化物の蒸着膜は、緻密で、隙間の少ない、可撓性に富む連続層となる。従つ て、酸化珪素等の無機酸化物の蒸着膜のガスバリア性は、従来の真空蒸着法等によ つて形成される酸化珪素等の無機酸化物の蒸着膜と比較してはるかに高いものとな り、薄 、膜厚で十分なガスノ リア性を得ることができる。
[0047] また、本発明にお 、ては、 SiOxプラズマにより榭脂のフィルムな!/、しシートの表面 iS 清浄化され、榭脂のフィルムないしシートの表面に、極性基やフリーラジカル等が 発生するので、形成される酸化珪素等の無機酸化物の蒸着膜と樹脂のフィルムな!/、 しシートとの密接着性が高いものとなるという利点を有するものである。
[0048] さらに、上記のように酸化珪素等の無機酸化物の連続膜の形成時の真空度は、 1
X 10―1〜 1 X 10_4Torr程度、好ましくは、 1 X 10―1〜 1 X 10_2Torr程度に調製す ることから、従来の真空蒸着による蒸着膜形成時の真空度(10一4〜 10_5Torr程度) に比べて低真空度であることから、榭脂のフィルムな 、しシートを原反交換時の真空 状態設定時間を短くすることができ、真空度が安定し、製膜プロセスが安定するもの である。
[0049] 本発明において、有機珪素化合物等の蒸着モノマーガスを使用して形成される酸 化珪素の蒸着膜は、有機珪素化合物等の蒸着モノマーガスと酸素ガス等とが化学反 応し、その反応生成物が、榭脂のフィルムないしシートの一方の面に密接着し、緻密 な、柔軟性等に富む薄膜を形成するものであり、通常、一般式: SiOx (式中、 Xは 0 〜2の数を表す)で表される酸化珪素を主体とする連続状の薄膜である。
[0050] 上記の酸ィ匕珪素の蒸着膜としては、透明性、バリア性等の点から、一般式: SiOx ( 式中、 Xは 1. 3〜1. 9の数を表す。)で表される酸化珪素の蒸着膜を主体とする薄膜 であることが好ましい。
[0051] 上記において、 Xの値は、蒸着モノマーガスと酸素ガスのモル比、プラズマのエネ ルギ一等により変化する力 一般的に、 Xの値が小さくなればガス透過度は小さくな るが、膜自身が黄色性を帯び、透明性が悪くなる。
[0052] また、上記の酸ィ匕珪素の蒸着膜は、酸化珪素を主体とし、これに、さらに、炭素、水 素、珪素または酸素の 1種類、または、その 2種類以上の元素力もなる化合物を少な くとも 1種類をィ匕学結合等により含有することが好ましい。例えば、 C— H結合を有す る化合物、 Si— H結合を有する化合物、または、炭素単位がグラフアイト状、ダイヤモ ンド状、フラーレン状等になっている場合、さらに、原料の有機珪素化合物やそれら の誘導体をィ匕学結合等によって含有する場合があるものである。
[0053] 具体例を挙げると、 CH部位を持つハイド口カーボン、 SiHシリル、 SiHシリレン等
3 3 2 のハイド口シリカ、 SiH OHシラノール等の水酸基誘導体等を挙げることができる。 [0054] 上記以外でも、蒸着過程の条件等を変化させることにより、酸化珪素の蒸着膜中に 含有される化合物の種類、量等を変化させることができる。
[0055] 上記の化合物力 酸ィ匕珪素の蒸着膜中に含有する含有量としては、 0. 1〜50% 位、好ましくは、 5〜20%位が好ましい。
[0056] 上記において、含有率が、 0. 1%未満であると、酸化珪素の蒸着膜の耐衝撃性、 延展性、柔軟性等が不十分となり、曲げなどにより、擦り傷、クラック等が発生し易ぐ 高いバリア性を安定して維持することが困難になり、また、 50%を越えると、ガスバリ ァ性が低下して好ましくな ヽものである。
[0057] さらに、本発明においては、酸ィ匕珪素の蒸着膜において、上記の化合物の含有量 力 酸ィ匕珪素の蒸着膜の表面力 深さ方向に向力つて減少させることが好ましぐこ れにより、酸ィ匕珪素の蒸着膜の表面においては、上記の化合物等により耐衝撃性等 を高められ、他方、基材との界面では上記化合物の含有量が少ないため、基材と酸 化珪素の蒸着膜との密接着性が強固なものとなる。
[0058] 本発明において、上記の酸ィ匕珪素の蒸着膜について、例えば、 X線光電子分光装 置(Xray Photoelectron Spectroscopy, XPS)、二次イオン質量分析装置(Secondary Ion Mass Spectroscopy, SIMS)等の表面分析装置を用い、深さ方向にイオンエッチ ングする等して分析する方法を利用して、酸化珪素の蒸着膜の元素分析を行うことよ り、上記のような物性を確認することができる。
[0059] また、本発明にお 、て、上記の酸化珪素の蒸着膜の膜厚としては、膜厚 50 A〜40 00 A程度であることが望ましぐ具体的には、その膜厚としては、 100〜: L000A程 度が望ましい。 1000 A、さらには、 4000 Aより厚くなると、その膜にクラック等が発生 し易くなるので好ましくなぐまた、 ιοοΑ、さらには、 50 A未満であると、ガスバリア性 の効果を期待できない。
[0060] 蒸着膜の膜厚は、例えば、株式会社理学製の蛍光 X線分析装置 (機種名、 RIX20 00型)を用いて、ファンダメンタルパラメータ一法で測定することができる。
[0061] また、上記の酸ィ匕珪素の蒸着膜の膜厚を変更する手段としては、蒸着膜の体積速 度を大きくすること、すなわち、モノマーガスと酸素ガス量を多くする方法や蒸著する 速度を遅くする方法等によって行うことができる。 [0062] 次に、本発明において、酸化珪素等の無機酸化物の蒸着膜を形成する有機珪素 化合物等の蒸着用モノマーガスとしては、例えば、 1, 1, 3, 3—テトラメチルジシロキ サン、へキサメチルジシロキサン、ビュルトリメチルシラン、メチルトリメチルシラン、へ キサメチルジシラン、メチルシラン、ジメチルシラン、トリメチルシラン、ジェチルシラン、 プロビルシラン、フエニルシラン、ビニルトリエトキシシラン、ビニルトリメトキシシラン、テ トラメトキシシラン、テトラエトキシシラン、フエニルトリメトキシシラン、メチルトリエトキシ シラン、オタタメチルシクロテトラシロキサン、その他等を使用することができる。
[0063] 本発明において、上記のような有機珪素化合物の中でも、 1, 1, 3, 3—テトラメチ ルジシロキサン、または、へキサメチルジシロキサンを原料として使用すること力 そ の取り扱い性、形成された連続膜の特性等から、特に、好ましい原料である。
[0064] また、上記にぉ 、て、不活性ガスとしては、例えば、ァノレゴンガス、ヘリウムガス等を 使用することができる。
[0065] 本発明にお ヽては、化学気相成長法により蒸着膜を形成する場合にお!ヽて、 2層 以上の珪素酸化物層を形成することが好ましい。このように、 2層以上の蒸着層を設 けることにより、より一層ガスノ リア性を向上させることができる。
[0066] 次に、 2層以上の蒸着膜を、プラズマ化学気相成長法により製造する方法について 説明する。
[0067] 図 4に示すように、プラズマ化学気相成長装置 40は、基本的に、基材フィルム供給 室 41、第 1の製膜室 42、第 2の製膜室 43、第 3の製膜室 44、および、基材フィルム の上に珪素酸ィ匕物層を製膜ィ匕し重層したフィルムを巻き取る卷取り室 45から構成さ れる。
[0068] まず、巻き出しロール 46に巻き取られている基材フィルム 1を、第 1の製膜室 42に 繰り出し、さらにこの基材フィルム 1を、補助ロール 47を介して所定の速度で冷却 '電 極ドラム 48周面上に搬送する。
[0069] 次に、原料揮発供給装置 49およびガス供給装置 50から有機珪素化合物の 1種以 上カゝらなる製膜用モノマーガス、酸素ガス、不活性ガス、その他等を供給し、それら カゝらなる製膜用混合ガス組成物を調整しながら、原料供給ノズル 51を通して第 1の 製膜室 42内に製膜用混合ガス組成物を導入し、そして、冷却'電極ドラム 48周面上 に搬送された基材フィルム 1の上に、グロ一放電プラズマ 52によってプラズマを発生 させ、これを照射して、珪素酸ィ匕物等力もなる第 1層の珪素酸ィ匕物層を製膜ィ匕する。
[0070] 次に、上記の第 1の製膜室 42で第 1層の珪素酸ィ匕物層を製膜ィ匕した基材フィルム を、補助ロール 53, 54を介して第 2の製膜室 43に繰り出し、次いで、上記と同様に、 第 1層の珪素酸ィ匕物層を製膜ィ匕した基材フィルムを所定の速度で冷却'電極ドラム 5 5周面上に搬送する。
[0071] その後、上記と同様に、原料揮発供給装置 56およびガス供給装置 57から有機珪 素化合物の 1種以上カゝらなる製膜用モノマーガス、酸素ガス、不活性ガス、その他等 を供給し、それらからなる製膜用混合ガス組成物を調整しながら、原料供給ノズル 58 を通して第 2の製膜室 43内に上記の製膜用混合ガス組成物を導入し、そして、上記 の冷却'電極ドラム 55周面上に搬送された第 1層の珪素酸化物層を製膜化した基材 フィルムの第 1層の珪素酸化物層の上に、グロ一放電プラズマ 59によってプラズマを 発生させ、これを照射して、珪素酸ィ匕物等力 なる第 2層の珪素酸ィ匕物層を製膜ィ匕 する。
[0072] さらに、上記と同様にして、第 2の製膜室で第 1層と第 2層の珪素酸ィ匕物層を製膜 化した基材フィルムを、補助ロール 60, 61を介して第 3の製膜室 44に繰り出し、次い で、上記と同様に、第 1層と第 2層の珪素酸化物層を製膜化した基材フィルムを所定 の速度で冷却 ·電極ドラム 62周面上に搬送する。
[0073] その後、上記と同様にして、原料揮発供給装置 63およびガス供給装置 64から有機 珪素化合物の 1種以上カゝらなる製膜用モノマーガス、酸素ガス、不活性ガス、その他 等を供給し、それらからなる製膜用混合ガス組成物を調整しながら、原料供給ノズル 65を通して、第 3の製膜室 44内に上記の製膜用混合ガス組成物を導入し、そして、 冷却 ·電極ドラム周面上に搬送された第 1層と第 2層の珪素酸化物層を製膜化した基 材フィルムの第 2層の珪素酸化物層の上に、グロ一放電プラズマ 66によってプラズマ を発生させ、これを照射して、珪素酸ィ匕物等力もなる第 3層の珪素酸ィ匕物層を製膜 化する。
[0074] 次いで、上記で第 1層、第 2層、および第 3層の珪素酸ィ匕物層を製膜ィ匕し、それらを 重層した基材フィルムを、補助ロール 67を介して、卷取り室 45に繰り出し、次いで、 卷取りロール 68に巻き取って、第 1層、第 2層、および第 3層の珪素酸化物層が重層 した蒸着層を有するガスノリア性積層フィルムを製造することができる。
[0075] なお、本発明においては、各第 1、第 2、および第 3の製膜室 (42, 43, 44)に配設 されている各冷却 ·電極ドラム(18, 55, 62)は、第 1、第 2、および第 3の各製膜室の 外に配置されている電源 69から所定の電力が印加されており、また、各冷却 ·電極ド ラム(48, 55, 62)の近傍には、マグネット(70, 71, 72)を配置してプラズマの発生 力 M足進されるちのである。
[0076] なお、図示しないが、上記のプラズマ化学気相成長装置には、真空ポンプ等が設 けられ、各製膜室等は真空に保持されるように調製されている。
[0077] 上記の例示は、本発明の一例であり、これによつて本発明が限定されるものではな い。上記の例においては、第 1層、第 2層、および、第 3層の珪素酸化物層を重層し たガスバリア性積層フィルムが製造されるが、珪素酸化物層は、製膜室を任意に調 製して、例えば 2層または 4層以上のように珪素酸ィ匕物層の層を任意に製膜ィ匕し、そ れらを重層した構造に製膜ィ匕することもできる。本発明は、上記の第 1層、第 2層、お よび、第 3層の珪素酸ィ匕物層が重層したガスノリア性積層フィルムを製造する例だけ に限定されるものではない。
[0078] 上記において、各製膜室は、真空ポンプ等により減圧し、真空度 1 X 10―1〜 1 X 1 0_8Torr程度、好ましくは、真空度 1 X 10一3〜 1 X 10_7Torr程度に調製することが 好ましい。
[0079] 一方、各冷却.電極ドラムには、電源力 所定の電圧が印加されているため、各製 膜室内の原料供給ノズルの開口部と冷却 ·電極ドラムとの近傍でグロ一放電プラズマ が生成され、このグロ一放電プラズマは、製膜用混合ガス組成物なかの 1つ以上のガ ス成分力も導出されるものであり、この状態において、基材フィルムを一定速度で搬 送させ、グロ一放電プラブマによって、冷却 ·電極ドラム周面上の基材フィルムの上に 、珪素酸ィ匕物等力もなる珪素酸ィ匕物層を製膜ィ匕することができる。
[0080] なお、このときの各製膜室内の真空度は、 1 X 10―1〜 1 X 10_4Torr程度、好ましく は、真空度 1 X 10―1〜 1 X 10_2Torr程度に調製する。また、基材フィルムの搬送速 度は、 10〜300mZ分程度、好ましくは、 50〜150mZ分程度に調製する。 [0081] なお、本発明において、各製膜室内の真空度は、各室において同じであっても異 なっていてもよい。
[0082] また、原料揮発供給装置においては、原料である有機珪素化合物の 1種以上から なる製膜用モノマーガスを揮発させ、ガス供給装置から供給される酸素ガス、不活性 ガス等と混合させ、それらからなる製膜用混合ガス組成物を調整しながら、その製膜 用混合ガス組成物を、原料供給ノズルを介して各製膜室内に導入されることが好まし い。
[0083] この場合、製膜用混合ガス組成物の各ガス成分のガス混合比としては、有機珪素 化合物の 1種力もなる製膜用モノマーガスの含有量は、 1〜40%程度、酸素ガスの 含有量は、 0〜70%程度、不活性ガスの含有量は、 1〜60%程度の範囲として調製 することが好ましい。
[0084] 本発明にお ヽては、各製膜室毎に、各製膜室に導入される製膜用混合ガス組成物 の各ガス成分のガス混合比を変えて調製した製膜用混合ガス組成物を使用し、各製 膜室毎に製膜ィ匕して、珪素酸ィ匕物等力 なる珪素酸ィ匕物層を重層することが好まし い。
[0085] すなわち、本発明においては、少なくとも、有機珪素化合物の 1種以上力もなる製 膜用モノマーガス、酸素ガス、および、不活性ガスを含有する製膜用混合ガス組成 物の各ガスを含有する製膜用混合ガス組成物を調製し、その製膜用混合ガス組成 物を各製膜室毎に変えて使用し、それらの各製膜用混合ガス組成物を使用した 2層 以上のプラズマ化学気相成長法による珪素酸ィ匕物層を製膜ィ匕することができるもの である。
[0086] 本発明にお ヽては、製膜用混合ガス組成物の各ガス成分の混合比としては、例え ば、第 1の製膜用混合ガス組成物として、製膜用モノマーガス:酸素ガス:不活性ガス = 1: 0〜5: 1 (単位: slm、スタンダードリツターミニットの略である)のガス糸且成比から なる製膜用混合ガス組成物、また、別の第2の製膜用混合ガス組成物としては、製膜 用モノマーガス:酸素ガス:不活性ガス = 1: 6〜 15: 1 (単位: slm)のガス組成比から なる製膜用混合ガス組成物等を使用することができる。
[0087] 本発明にお ヽては、上記のような製膜用混合ガス組成物を任意に組み合わせて、 第 1、第 2、あるいは、第 3の製膜室に、製膜用混合ガス組成物の各ガス成分の混合 比を変えた製膜用混合ガス組成物を使用して製膜ィ匕することができる。
[0088] 物理気ネ目)^ 法による蒸着膜の形成
本発明において、無機酸ィ匕物の蒸着膜としては、例えば、真空蒸着法、スバッタリ ング法、イオンプレーティング法、イオンクラスタービーム法等の物理気相成長法 (Ph ysical Vapor Deposition法、 PVD法)を用いて形成することができる。
[0089] 具体的には、金属の酸化物を原料とし、これを加熱して榭脂のフィルムな ヽしシート の上に蒸着する真空蒸着法、または、原料として金属または金属の酸ィ匕物を使用し 、酸素を導入して酸ィ匕させて榭脂のフィルムな 、しシートの上に蒸着する酸化反応 蒸着法、さらに、酸化反応をプラズマで助成するプラズマ助成式の酸化反応蒸着法 等を用いて無機酸ィ匕物の非結晶の薄膜を形成することができる。
[0090] 上記にお 、て、蒸着材料の加熱方式としては、例えば、抵抗加熱方式、高周波誘 導加熱方式、エレクトロンビーム加熱方式 (EB)等にて行うことができる。
[0091] 上記の無機酸ィ匕物の蒸着膜としては、金属の酸化物の蒸着膜が挙げられ、具体的 には、ケィ素(Si)、アルミニウム(A1)、マグネシウム(Mg)、カルシウム(Ca)、カリウム (K)、スズ(sn)、ナトリウム(Na)、ホウ素(B)、チタン (Ti)、鉛(Pb)、ジルコニウム(Zr )、イットリウム (Y)等の金属の酸ィ匕物の蒸着膜を使用することができる。好ましいもの としては、ケィ素(Si)、アルミニウム (A1)等の金属が挙げられる。
[0092] 上記の金属の酸ィ匕物の蒸着膜は、ケィ素酸化物、アルミニウム酸ィ匕物、マグネシゥ ム酸ィ匕物等のように金属酸ィ匕物として呼ぶことができ、その表記は、例えば、 SiOx、 A10x、 MgOx等のように MOx (式中、 Mは、金属元素を表し、 Xの値は、金属元素 によってそれぞれ範囲が異なる。)で表される。
[0093] また、上記の Xの値の範囲としては、ケィ素(Si)は、 0〜2、アルミニウム (A1)は、 0 〜1. 5、マグネシウム(Mg)は、 0〜1、カルシウム(Ca)は、 0〜1、カリウム(K)は、 0 〜0. 5、スズ(Sn)は、 0〜2、ナトリウム(Na)は、 0〜0. 5、ホウ素(B)は、 0〜1、 5、 チタン (Ti)は、 0〜2、鉛(Pb)は、 0〜1、ジルコニウム(Zr)は 0〜2、イットリウム(Y) は、 0〜1. 5の範囲の値をとることができる。
[0094] 上記において、 X=0の場合、完全な金属であり、透明ではなく全く使用することが できない、また、 Xの範囲の上限は、完全に酸ィ匕した値である。
[0095] 本発明において、一般的に、ケィ素(Si)、アルミニウム (A1)以外は、使用される例 に乏しく、ケィ素(Si)は、 1. 0〜2. 0、アルミニウム(A1)は、 0. 5〜1. 5の範囲の値 のものを使用することができる。
[0096] 本発明において、上記のような無機酸ィ匕物の蒸着膜の膜厚としては、使用する金 属、または、金属の酸化物の種類等によって異なるが、例えば、 50〜4000A位、好 ましくは、 ιοο〜ιοοο A位の絶囲内で任意に選択して形成することが望ましい。
[0097] また、本発明においては、無機酸ィ匕物の蒸着膜としては、使用する金属、または、 金属の酸化物としては、 1種または 2種以上の混合物で使用し、異種の材質で混合し た無機酸化物の蒸着膜を祷成することもできる。
[0098] 次に、本発明において、上記の無機酸化物の蒸着膜を形成する方法について説 明する。図 5は、巻き取り式真空蒸着装置の一例を示す概略構成図である。
[0099] 図 5に示すように、巻き取り式真空蒸着装置 80の真空チャンバ一 81の中で、巻き 出しロール 82から繰り出す榭脂のフィルムないしシート 1は、ガイドロール 83, 84を 介して、冷却したコーティングドラム 85に案内される。
[0100] 上記の冷却したコーティングドラム上に案内された榭脂のフィルムないしシートの上 に、るつぼ 92で熱せられた蒸着源 86、例えば、金属アルミニウム、あるいは酸ィ匕アル ミニゥム等を蒸発させ、さらに、必要ならば、酸素ガス吹出口 87より酸素ガス等を噴出 し、これを供給しながら、マスク 88を介して、例えば、酸化アルミニウム等の無機酸ィ匕 物の蒸着膜を榭脂のフィルムな 、しシート上に形成する。 次 、で、例えば、酸ィ匕ァ ルミ-ゥム等の無機酸ィ匕物の蒸着膜を形成した榭脂のフィルムな 、しシートを、ガイド ロール 89, 90を介して巻き取りロール 91に巻き取って、無機酸化物の蒸着膜を有す る榭脂のフィルムないしシートを製造することができる。
[0101] 上記の例示は、その一例を例示するものであり、これによつて本発明は限定される ものではない。
[0102] なお、本発明においては、上記のような巻き取り式真空蒸着装置を用いて、まず、 第 1層の無機酸化物の蒸着膜を形成し、次いで、同様にして、該無機酸化物の蒸着 膜の上に、さらに、無機酸化物の蒸着膜を形成するか、あるいは、上記のような巻き 取り式真空蒸着装置を用いて、これを 2連に連接し、連続的に、無機酸化物の蒸着 膜を形成することにより、 2層以上の多層膜からなる無機酸ィ匕物の蒸着膜を形成する ことができる。
[0103] ガスバリア性塗布膜
次に、本発明のガスノ リア性積層フィルムを構成するガスノ リア性塗布膜にっ ヽて 説明する。
[0104] ガスノ リア性塗布膜としては、一般式: R1 M (OR2) で表される少なくとも 1種以上
n m
のアルコキシド、ポリビニルアルコールおよび Zまたはエチレン'ビニルアルコールを 含有する組成物をゾルゲル法によって重縮合して得られるガスノ リア性組成物による ガスノ リア性塗布膜を使用することができる。
[0105] 本発明に好適に使用できるアルコキシドは、一般式: R1 M (OR2) (式中、 Mは金
n m
属原子、
Figure imgf000019_0001
R2が炭素数 1〜8の有機基、 nは 0以上、 mは 1以上の整数、 n+mは M の原子価を表す)で表されるものであり、このアルコキシドの部分加水分解物または アルコキシドの加水分解縮合物の少なくとも 1種以上を使用することができる。なお上 記のアルコキシドの部分加水分解物としては、アルコキシ基のすべてが加水分解さ れている必要はなぐ 1個以上が加水分解されているもの、およびその混合物であつ てもよい。また、加水分解の縮合物は、部分加水分解アルコキシドの 2量体以上のも のを表しており、 2〜6量体が通常使用される。
[0106] 上記一般式: R1 M (OR2) における、 Mで表される金属原子としては、ケィ素、ジ
n m
ルコ-ゥム、チタン、アルミニウム等が使用でき、好ましくはケィ素である。これらのァ ルコキシドの用い方としては、単独または 2種以上の異なる金属原子のアルコキシド を同一溶液中に混合して使うこともできる。
[0107] 有機基 R1の具体例としては、例えば、メチル基、ェチル基、 n—プロピル基 n—プロ ピル基、 i—プロピル基、 n ブチル基、 i ブチル基、 sec ブチル基、 t ブチル基 、 n—へキシル基、 n—ォクチル基、などのアルキル基などが挙げられる。また、有機 基 R2の具体例としては、例えば、メチル基、ェチル基、 n—プロピル基、 i プロピル 基、 n—ブチル基、 sec ブチル基、などが挙げられる。同一分子中にこれらアルキ ル基は同一でであっても、異なってもよい。 [0108] アルコキシドの中でも、 Mが Siであるアルコキシシランが好ましぐアルコキシシラン としては Si (ORa) で表され、 Rは低級アルキル基である。 Raとしてはメチル基、ェチ
4
ル基、 N—プロピル基、 Ν·ブチル基などが用いられ、アルコキシシランの具体例とし ては、テトラメトキシシラン Si (OCH ) 、テトラエトキシシラン Si (OC H ) 、テトラプロ
3 4 2 5 4
ポキシシラン Si (OC H ) 、テトラブトキシシラン Si (OC H ) などが挙げられる。
3 7 4 4 9 4
[0109] また、アルキルアルコキシシラン Rb Si (OR を用いることができる(mは 1, 2, 3
m 4— m
の整数)。 Rb、 Reとしては、メチル基、ェチル基などが用いられ、アルキルアルコキシ シランの具体例としては、メチルトリメトキシシラン CH Si(OCH ) 、メチルトリエトキシ
3 3 3
シラン CH Si (OC H ) 、ジメチルジメトキシシラン(CH ) Si (OCH ) ジメチルジェ
3 2 5 3 3 2 3 2
トキシシラン(CH ) Si (OC H ) などがあげられる。これらのアルコキシシラン、アル
3 2 2 5 2
キルアルコキシシランは、単独または 2種以上を混合しても用いることができる。
[0110] さらに、アルコキシシランの縮重合物も使用することができ、具体的にはポリテトラメ トキシシラン、ポリテトラエメトキシシランなどが挙げられる。
[0111] 上記アルコキシドの中で、 Mが Zrであるジルコニウムアルコキシドの具体例としては
、テトラメトキシジルコニウム Zr(0— CH ) 、テトラエトキシジルコニウム Zr (0— C H
3 4 2 5
) 、テトラ iプロポキシジルコニウム Zr(0—Iso— C H ) 、テトラ nブトキシジルコニウム
4 3 7 4
Zr (0-C H ) などを好適に使用できる。
4 9 4
[0112] 上記アルコキシドの中で、 Mが Tiであるチタニウムアルコキシドの具体例としては、 テトラメトキシチタニウム Ti (0— CH ) 、テトラエトキシチタニウム Ti(0— C H ) 、テ
3 4 2 5 4 トライソプロポキシチタニウム Ti (0— Iso— C H ) 、テトラ nブトキシチタニウム Ti (0
3 7 4
— C H ) などを好適に使用できる。
4 9 4
[0113] 上記アルコキシドの中で、 Mが A1であるアルミニウムアルコキシドの具体例としては 、テトラメトキシアルミニウム A1 (0— CH ) 、テトラエトキシアルミニウム A1 (0— C H )
3 4 2 5 テトライソプロポキシアルミニウム A1 (0— Iso— C H ) 、テトラ nブトキシアルミニウム
4 3 7 4
AKO-C H ) などを好適に使用できる。
4 9 4
[0114] 2種以上のこれらのアルコキシドを混合して用いてもよい。特にアルキキシシランと ジルコニウムアルコキシドを混合して用いることによって、得られる積層フィルムの靭 性、耐熱性などが向上し、廷伸時のフィルムの耐レトルト性などの低下が回避できる。 ジルコニウムアルコキシドの使用量は、アルコキシシラン 100重量部に対して 10重量 部以下の範囲であり、好ましくは約 5重量部である。 10重量部を上回ると、形成され る複合ポリマーがゲルィ匕しゃすくなり、複合ポリマーの脆性が大きくなり、基材フィル ムを被覆した際に複合ポリマー層が剥離しやすくなる。
[0115] また特にアルコキシシランとチタニウムアルコキシドを混合して用いることによって、 得られる皮膜の熱伝導率が低くなり、基材の耐熱性が著しく向上する。チタニウムァ ルコキシドの使用量は、アルコキシシラン 100重量部に対して 5重量部以下の範囲で あり、好ましくは約 3重量部である。 5重量部を超えると形成される複合ポリマーの脆 性が大きくなり、基材フィルムを被覆した際に複合ポリマーが剥離しやすくなる。
[0116] 本発明においては、上記アルコキシドと共にシランカップリング剤が併用されること が好ましい。シランカップリング剤としては、既知の有機反応性基含有オルガノアルコ キシシランが用いられ得る。特に、エポキシ基を有するオルガノアルコキシシランが好 適である。それには、例えば γ—グリシドキシプロピルトリメトキシシラン、 γ—グリシド キシプロピルメチルジェトキシシラン、および j8 (3, 4 エポキシシクロへキシル)ェ チルトリメトキシシランがある。このようなシランカップリング剤は 2種以上を混合して用 いてもよい。このようなシランカップリング剤の使用量は、上記アルコキシシラン 100重 量部に対して 0. 1〜20重量部の範囲内である。 20重量部以上を使用すると形成さ れる複合ポリマーの剛性と脆性とが大きくなり、複合ポリマー層の絶縁性およびカロェ 性が低下する。
[0117] 本発明では、ガスバリア性塗布膜形成用の組成物(塗工液)に、ポリビニルアルコー ルおよび Zまたはエチレン 'ビュルアルコールコポリマーが含まれる。ポリビュルアル コールおよびエチレン 'ビュルアルコールコポリマーを組み合わせることによって、得 られる塗布膜のガスノ リア性、耐水性、耐候性などが著しく向上する。さら〖こ、ポリビ -ルアルコールとエチレン.ビュルアルコールコポリマーとを組み合わせた積層フィル ムは、ガスノ リア性、耐水性、および耐候性に加えて耐熱水性および熱水処理後の ガスノ リア性に優れる。
[0118] ポリビュルアルコールおよびエチレン 'ビュルアルコールコポリマーの組み合わせを 採用する場合のそれぞれの含有重量比は、 10 : 0. 05〜: L0 : 6であることが好ましぐ 約 10 : 1がさらに好ましい。
[0119] 上記ポリビュルアルコールおよび Zまたはエチレン 'ビュルアルコールコポリマーの 合計の含有量は、上記アルコキシドの合計量 100重量部に対して 5〜600重量部の 範囲であり、好ましくは約 50〜400重量部である。 600重量部を上回ると複合ポリマ 一の脆性が大きくなり、得られる積層フィルムの耐水性および耐候性も低下する。 5 重量部を下回るとガスバリア性が低下する。
[0120] 本発明にお ヽては、上記の組成物 (塗工液)を蒸着膜上に塗布し、その組成物をゾ ル—ゲル法により重縮合して塗布膜を得る。ゾル—ゲル法触媒、主として重縮合触 媒としては、水に実質的に不溶であり、かつ有機溶媒に可溶な第三ァミンが用いられ る。例えば、 N, N—ジメチルベンジルァミン、トリプロピルァミン、トリブチルァミン、トリ ペンチルァミンなどがあり、特に N— N—ジメチルベンジルァミンが好適である。その 使用量は、アルコキシド、およびシランカップリング剤の合計量 100重量部当り、 0. 0 1〜1重量部、好ましくは約 0. 03重量部である。
[0121] 本発明においては、上記の組成物はさらに酸を含んでいてもよい。酸は、ゾルーゲ ル法の触媒、主としてアルコキシドゃシランカップリング剤などの加水分解のための 触媒として用いられる。酸としては、硫酸、塩酸、硝酸などの鉱酸、ならびに酢酸、酒 石酸などの有機酸が用いられる。酸の使用量は、アルコキシドおよびシランカップリン グ剤のアルコキシド分(例えばシリケート部分)の総モル量に対して、 0. 001-0. 05 モルであり、好ましくは約 0. 01モルである。
[0122] 本発明にお ヽては、上記ガスバリア性塗布膜形成用組成物中に、アルコキシドの 合計モル量 1モルに対して 0. 1〜: L00モル好ましくは 0. 8から 2モルの割合の水を含 んでなることが好ましい。水の量が 2モルを上回ると、上記アルコキシシランと金属ァ ルコキシドとから得られるポリマーが球状粒子となり、さらに、この球状粒子同士が 3次 元的に架橋し、密度の低い、多孔性のポリマーとなる。多孔性のポリマーは、基材フ イルムのガスバリアー性を改善することができない。水の量が 0. 8モルを下回ると、カロ 水分解反応が進行しにくくなる。
[0123] また、ガスバリア性塗布膜形成用組成物は、有機溶剤を含有することが好ま ヽ。
有機溶媒としては、メチルアルコール、エチルアルコール、 n—プロピルアルコール、 イソプロピルアルコール、 n—ブタノールなどが用いられる。
[0124] ポリビュルアルコールおよび Zまたはエチレン 'ビュルアルコールコポリマーは、上 記のアルコキシドゃシランカップリング剤などを含む組成物(塗工液)中で溶解した状 態であることが好ましぐそのため上記有機溶媒の種類が適宜選択される。ポリビ- ルアルコールおよびエチレン.ビュルアルコールコポリマーの組み合わせを採用する 場合には、 n—ブタノールを使用することが好ましい。溶媒中に可溶ィ匕されたェチレ ン'ビュルアルコールコポリマーは、例えば、ソァノール(商品名)として市販されてい る。上記有機溶媒の使用量は、通常上記アルコキシド、シランカップリング剤、ポリビ -ルアルコールおよび Zまたはエチレン 'ビュルアルコールコポリマー、酸、およびゾ ルーゲル法触媒の合計量 100重量部当り 30〜 500重量部である。
[0125] 本発明のガスノ リア性積層フィルムにおいて、ガスノ リア性塗布膜の形成方法につ いて以下に説明する。
[0126] まず、上記アルコキシシラン、シランカップリング剤、ビュルアルコールポリマー、ゾ ルーゲル法触媒、酸、水、有機溶媒、および必要に応じて金属アルコキシドを混合し て塗工液を調製する。この塗工液中では次第に重縮合反応が進行する。次いで、上 記基材フィルムに、常法によりこの塗工液を塗布し、乾燥する。乾燥により、上記アル コキシシラン、金属アルコキシド、シランカップリング剤およびビュルアルコールポリマ 一の重縮合がさらに進行し、複合ポリマーの層が形成される。好ましくは上記の操作 を繰り返して、複数の複合ポリマー層を積属する。最後に、上記塗工液を塗布したフ イルムを 150°C〜250°Cの温度で、 30秒〜 10分間加熱する。
[0127] このように、アルコキシシラン、シランカップリング剤、およびビュルアルコールポリマ 一を主成分とする塗工液を、基材の蒸着膜を設けた面側に塗布して、 150°C〜250 °Cの温度で加熱することにより、優れた酸素バリア性、水蒸気バリア性が得られる。従 来のビュルアルコールポリマーを主成分とするガスノ リア性塗布膜にぉ 、ては、温湿 度が高い雰囲気下 (40°C、 90Rh%)において、酸素バリア性が著しく低下していた。 これに対し、本発明のガスノ リア性積層フィルムは、温湿度が高い雰囲気下におい ても優れた酸素バリア性を有する。また、ビニルアルコールポリマーを主成分としたガ スノ リア性塗布膜自体は、水蒸気バリア性を有していないものの、本発明のように、 上記の組成からなる塗工液を基材の蒸着膜の面側に塗布して所定温度で加熱処理 を行うことにより、水蒸気ノリア性が著しく向上する。この理由は以下のように考えられ る力 これに限定されるものではない。すなわち、塗布膜の内部において、ビュルァ ルコールポリマーとアルコキシシランの加水分解物とが水素結合やィ匕学結合する架 橋反応が起こること、そして、ビュルアルコールポリマーが結晶化すること、さらに、蒸 着膜とガスノリア性塗布膜との界面にぉ ヽて、蒸着膜とガスノリア性塗布膜とが水素 結合やィ匕学結合により強固に密着していること、により優れた酸素バリア性および水 蒸気ノリア性が得られると考えられる。このように、ガスノリア性塗布膜が、水素結合 やィ匕学結合等による架橋構造を有するため、温湿度が高い雰囲気下に置かれた場 合であってもポリマーの分子運動が拘束される。そのため、高いガスノリア性が発現 されるものと考えられる。
[0128] 加熱温度が 150°C未満では、酸素ノリア性および水蒸気ノリア性が向上しない。ま た、 250°Cを超える温度では、基材にダメージを与え、シヮゃカール等が発生して、 ガスノリア性が低下する。加熱温度は、 180〜200°Cが好ましい。
[0129] 本発明においては、ビュルアルコールポリマーの代わりに、エチレン 'ビュルアルコ ールコポリマーまたはエチレン.ビニルアルコールコポリマーとポリビニルアルコール との両者を用いた組成物を使用してもよい。エチレン 'ビュルアルコールコポリマーと ポリビュルアルコールとの両者を用いた積層フィルムは、ボイル処理、レトルト処理な どの熱水処理後のガスバリア性がさらに向上する。
[0130] ガスノリア性塗布膜を形成する他の態様として、熱水処理後のガスバリア性を向上さ せるため、以下のような積層フィルムを形成することが好ましい。
[0131] すなわち、予め基材フィルムの少なくとも片面に、ポリビュルアルコールを含有する 組成物を塗工して第 1の複合ポリマー層を形成し、次いで、その塗工面上に上記ェ チレン'ビュルアルコールコポリマーを含有する組成物を塗工して第 2の複合ポリマ 一層をさらに形成する。そのことにより、得られる積層フィルムのガスノ リア性が向上 する。
[0132] さらに、本発明においては、ガスノリア性塗布膜を、基材フィルム上に複数層形成 してもよい。ガスノリア性塗布膜を複数層設けることにより、一層ガスバリア性の向上 を図ることができる。
ガスノ リア性塗布膜の機能について、アルコキシシランを使用した場合を一例とし て説明する。アルコキシシランおよび金属アルコキシドは、添加された水によって、加 水分解される。この際、酸が加水分解の触媒となる。次いでゾルーゲル法触媒の働き によって、生じた水酸基力 プロトンが奪取され、加水分解生成物同士が脱水重縮 合する。このとき、酸触媒により同時にシランカップリング剤も加水分解されて、アルコ キシ基が水酸基となる。塩基触媒の働きによりエポキシ基の開環も起こり、水酸基が 生じる。加水分解されたシランカップリング剤と加水分解されたアルコキシドとの重縮 合反応も進行する。さらに反応系にはポリビニルアルコール、またはエチレン 'ビュル アルコールコポリマー、またはポリビニルアルコールおよびエチレン'ビニルアルコー ルが存在するため、ポリビニルアルコールおよびエチレン'ビニルアルコールコポリマ 一が有する水酸基との反応も生じる。生成する重縮合物は、 Si— O— Si、 Sj -O-Z r、 Si— O—Tiなどの結合力もなる無機質部分と、シランカップリング剤に起因する有 機部分とを含有する複合ポリマーである。上記反応においては、例えば、下記式: [化 1]
Figure imgf000025_0001
(式中、 Rはアルキル基を表す)で表される部分構造式を有し、さらにシランカップリン グ剤に起因する部分を有する直鎖状のポリマーが、まず生成する。
このポリマーは OR基 (エトキシ基などのアルコキシ基)が直鎖状のポリマーから分岐 した形で有する。この OR基は、存在する酸が触媒となって加水分解されて OH基と なり、ゾルーゲル法触媒 (塩基触媒)の働きによりまず OH基が脱プロトン化し次 ヽで 重縮合が進行する。すなわち、この OH基が、下記式:
[化 2] .CH2 CH2 CH2
\ \
CH CH CH
OH OH OH で表されるポリビュルアルコール、または、下記式:
[化 3]
~ (-CH2— CH2- ~ (-CH2— CH-^—
m
OH
(式中、 mおよび nは、 1以上の整数を表す)で表されるエチレン.ビュルアルコールコ ポリマーと重縮合反応し、 Si— O— Si結合を有する、例えば下記式:
[化 4] ノ CH: .CH,
/ < /
CH CH CH
O O O
ORSi― O—— Si― O— Si― O一 Si—— O
OR OR
(式中、 Rは、水素またはアルキル基を表す)で表される複合ポリマー、もしくは、下記 式:
[化 5] H2 リ Hz") r"OH2 wH~ - CH2 CHo~j r"CH2 CH~ "CH2— CH2-)— (-CH2— CH-)— ml I ' m2 I 1 m3 I O O O
-Si一 O一 Si- O S Ii— - O- -S Ii—— o
OR OR OR
(式中、 ml、 m2、および m3は、 1以上の整数を表し、 Rはアルキル基を表す)、およ び下記式: [化 6]
Figure imgf000027_0001
(式中、 ml、 m2、および m3は、 1以上の整数を表し、 Rはアルキル基を表す) で表される共重合した複合ポリマーが生じると考えられる。
[0135] 上記の反応は常温で進行し、塗工液は調製中に粘度が増加する。この塗工液を基 材フィルムに塗布し、加熱して溶媒および重縮合反応により生成したアルコ一ルを除 去すると、重縮合反応が完結し、基材フィルム上に透明な複合ポリマーの層が形成さ れる。複合ポリマー層を複数層積層した場合には、層間の複合ポリマー同士も縮合し 、層と層との間が強固に結合する。さらに、シランカップリング剤の有機反応性基や、 加水分解によって生じた水酸基が基材フィルム表面の水酸基と結合するため、基材 フィルム表面と、複合ポリマー層との接着性も良好である。
[0136] 本発明の方法においては、添加される水の量がアルコキシド類 1モルに対して 0. 8 〜2モル、好ましくは 1. 5モルに調製されているため、上記直鎖状のポリマーが形成 される。このような直鎖状ポリマーは結晶性を有し、非晶質部分の中に多数の微小の 結晶が埋包された構造をとる。このような結晶構造は、結晶性有機ポリマー (例えば、 塩ィ匕ビユリデンゃポリビュルアルコール)と同様であり、さらに極性基 (OH基)が部分 的に分子内に存在し、分子の凝集エネルギーが高く分子鎖剛性も高いため良好な ガスバリア性を示す。
[0137] 本発明においては、無機酸化物の蒸着膜とガスバリア性塗布膜とが、例えば、加水 分解'共縮合反応による化学結合、水素結合、あるいは、配位結合などを形成し、無 機酸ィ匕物の蒸着膜とガスバリア性塗布膜との密着性が向上し、その 2層の相乗効果 により、より良好なガスバリア性の効果を発揮し得る。 [0138] ガスバリア性塗布膜形成用組成物を塗布する方法としては、例えば、グラビアコー ターなどのロールコート、スプレーコート、スピンコート、デイツビング、刷毛、バーコ一 ド、アプリケータなどの塗装手段により、 1回あるいは複数回の塗装で、乾焼膜厚が 0 . 01-30 μ m、好ましくは、 0. 1〜10 μ mの本発明のガスバリア性塗布膜を形成す ることがでさる。
[0139] また、通常の環境下、 150〜250。C、好ましくは 180〜200。Cの温度で、 0. 005〜 60分間、好ましくは、 0. 01〜: LO分間、加熱'乾操することにより、縮合が行われ、ガ スノ リア性塗布膜を形成することができる。
[0140] また、必要ならば、本発明のガスバリア性組成物を塗布する際に、予め、無機酸ィ匕 物の蒸着膜の上に、プライマー剤等を塗布することもできる。
[0141] また、本発明の態様においては、基材上に蒸着層とガスバリア性塗布膜を設けた 後、さらに蒸着層を設け、その蒸着層上にガスノ リア性塗布膜を上記と同様にして形 成してもよい。このように積層数を増やすことにより、より一層ガスノ リア性に優れる積 層フィルムを実現できる。
[0142] 本発明のガスノ リア性積層フィルムは、上記のような優れた特性を有するので、包 装材料として有用であり、特にガスバリア性 (0 に優れるため、
2、 N
2、 H 0
2 、 COなど)
2
食品包装用フィルムとして好適に使用される。特に、 Nあるいは COガスなどを充填
2 2
した 、わゆるガス充填包装に用いた場合には、その優れたガスノ リア性が充填ガス の保持に極めて有効となる。
[0143] さらに、本発明のガスノ リア性積層フィルムは、熱水処理、特に高圧熱水処理(レト ルト処理)後のガスノ リア性にも優れて 、る。
[0144] ^ m
次に、本ガスノ リア性積層フィルムを用いた包装袋として、一例としてガスノ リア性 積層フィルム、印刷層、ラミネート接着剤層、ヒートシール層を順次設けた包装材用 積層材について説明する。
[0145] 印 層
印刷層としては、通常のインキビヒクルの 1種ないし 2種以上を主成分とし、これに、 必要ならば、可塑剤、安定剤、酸化防止剤、光安定剤、紫外線吸収剤、硬化剤、架 橋剤、滑剤、帯電防止剤、充填剤、その他等の添加剤の 1種ないし 2種以上を任意 に添加し、さらに、染料'顔料等の着色剤を添加し、溶媒、希釈剤等で充分に混練し てインキ組成物を調製し、次いで、該インキ組成物を使用し、例えば、グラビア印刷、 オフセット印刷、凸版印刷、スクリーン印刷、転写印刷、フレキソ印刷、その他等の印 刷方式を使用し、前述のプライマー剤層の上に、文字、図形、記号、模様、その他等 力もなる所望の印刷模様を印刷して、印刷模様層を形成することができる。
[0146] 上記において、インキビヒクルとしては、公知のもの、例えば、あまに油、きり油、大 豆油、炭化水素油、ロジン、ロジンエステル、ロジン変性榭脂、シェラック、アルキッド 榭脂、フエノール系榭脂、マレイン酸榭脂、天然榭脂、炭化水素榭脂、ポリ塩化ビ- ル系榭脂、ポリ酢酸ビニル系榭脂、ポリスチレン系榭脂、ポリビュルプチラール榭脂、 アクリルまたはメタクリル系榭脂、ポリアミド系榭脂、ポリエステル系榭脂、ポリウレタン 系榭脂、エポキシ系榭脂、尿素樹脂、メラミン榭脂、アミノアルキッド系榭脂、ニトロセ ルロース、ェチルセルロース、塩ィ匕ゴム、環化ゴム、その他等の 1種ないし 2種以上を 使用することができる。
[0147] ラミネート榇羞翅
次に、積層材を構成するラミネート用接着剤層について説明する。ラミネート用接着 剤層を構成する接着剤としては、例えば、ポリ酢酸ビニル系接着剤、アクリル酸ェチ ル、アクリル酸ブチル、 2—ェチルへキシルエステル等のホモポリマー、あるいは、こ れらとメタクリル酸メチル、アクリロニトリル、スチレン等との共重合体等力 なるポリア クリル酸エステル系接着剤、シァノアクリレート系接着剤、エチレンと酢酸ビニル、ァク リル酸ェチル、アクリル酸、メタクリル酸等のモノマーとの共重合体等力 なるェチレ ン共重合体系接着剤、セルロース系接着剤、ポリエステル系接着剤、ポリアミド系接 着剤、ポリイミド系接着剤、尿素樹脂またはメラミン榭脂等カゝらなるアミノ榭脂系接着 剤、フエノール榭脂系接着剤、エポキシ系接着剤、ポリウレタン系接着剤、反応型 (メ タ)アクリル系接着剤、クロロプレンゴム、二トリルゴム、スチレン一ブタジエンゴム等か らなるゴム系接着剤、シリコーン系接着剤、アルカリ金属シリケート、低融点ガラス等 力もなる無機系接着剤、その他等の接着剤を使用することができる。
[0148] 上記の接着剤は、水性型、溶液型、ェマルジヨン型、
Figure imgf000029_0001
、ずれの組成物 形態でもよぐまた、その性状は、フィルム 'シート状、粉末状、固形状等のいずれの 形態でもよぐさらに接着機構については、化学反応型、溶剤揮発型、熱溶融型、熱 圧型等の 、ずれの形態でもよ 、ものである。
[0149] 本発明においては、印刷層を含む全面に、上記の接着剤を、例えば、ロールコート 法、グラビアロールコート法、キスコート法、その他等のコート法、あるいは、印刷法等 によって施し、次いで、溶剤等を乾燥させてラミネート用接着剤層を形成すことができ 、そのコーティングないし塗工量としては、 0. 1〜: LOgZm2 (乾燥状態)程度が好まし い。
[0150] ヒートシール性榭脂層
次に、ヒートシール性榭脂層について説明する。ヒートシール性榭脂層を構成する ヒートシール性榭脂としては、熱によって溶融し相互に融著し得るものであればよぐ 例えば、低密度ポリエチレン、中密度ポリエチレン、高密度ポリエチレン、直鎖状 (線 状)低密度ポリエチレン、ポリプロピレ、エチレン—酢酸ビュル共重合体、アイオノマ ー榭脂、エチレン アクリル酸ェチル共重合体、エチレン アクリル酸共重合体、ェ チレンーメタクリル酸共重合体、エチレン プロピレン共重合体、メチルペンテンポリ マー、ポリエチレン、ポリプロピレン等のポリオレフイン系榭脂をアクリル酸、メタクリル 酸、無水マレイン酸、フマール酸、その他等の不飽和カルボン酸で変性した酸変性 ポリオレフイン系榭脂、その他等の樹脂の一種な 、しそれ以上力もなる榭脂のフィル ムないしシートを使用することができる。
[0151] 本発明においては、ラミネート用接着剤層の面に、上記の榭脂のフィルムないしシ ートをドライラミネートして、ヒートシール性榭脂層を形成することができる。
[0152] 上記の榭脂のフィルムないしシートは、単層ないし多層で使用することができ、また 、上記の榭脂のフィルムないしシートの厚さとしては、 5 μ m〜300 μ m程度、好ましく は、 10 m〜 110 m程度である。
[0153] 上記の榭脂のフィルムないしシートの厚さは、袋状容器本体の製袋時等において、 無機酸化物の蒸着膜を有する榭脂のフィルムないしシートを構成する無機酸化物の 蒸着膜に、擦り傷やクラック等を発生することを防止するために、比較的その膜厚を 厚くすることが好ましぐ具体的には、 70 m〜: L 10 m程度、望ましくは、 80 /ζ πι〜 100 m程度である。
[0154] 本発明においては、上記のような榭脂のフィルムないしシートの中でも、特に、線状 低密度ポリエチレンを使用することが好ましいものである。線状低密度ポリエチレンは 、粘着性を有することから破断の伝搬が少なく耐衝撃性を向上させると 、う利点があ るものであり、また、内層は常時内容物に接触していることから、耐環境ストレスクラッ キング性の劣化を防止するためにも有効なものである。
[0155] また、本発明においては、線状低密度ポリエチレンに、他の榭脂をブレンドすること もでき、例えば、エチレンープチン共重合体等をブレンドすることにより、若干、耐熱 性に劣り高温環境下ではシール安定性が劣化する傾向があるものの、引き裂き性が 向上し、易開封性に寄与するという利点がある。
[0156] 線状低密度ポリエチレンとしては、具体的には、メタ口セン触媒を用いて重合したェ チレン α—ォレフイン共重合体のフィルムないしシートを同様に使用することがで きる。上記のメタ口セン触媒を用いて重合したエチレン α—ォレフイン共重合体の フィルムないしシートとしては、例えば、二塩化ジルコノセンとメチルアルモキサンの 組み合わせによる触媒等のメタ口セン錯体とアルモキサンとの組み合わせによる触媒 、すなわち、メタ口セン触媒を使用して重合してなるエチレン一 a—ォレフイン共重合 体のフィルムないしシートを使用することができる。
[0157] メタ口セン触媒は、現行の触媒が、活性点が不均一でマルチサイト触媒と呼ばれて いるのに対し、活性点が均一であることからシングルサイト触媒とも呼ばれているもの である。具体的には、三菱ィ匕学株式会社製の商品名「カーネル」、三井石油化学ェ 業株式会社製の商品名「ェポリユー」、米国、ェクソン'ケミカル(EXXON CHEMI CAL)社製の商品名「エタザタト(EXACT)」、米国、ダウ ·ケミカル (DOW CHEMI CAL)社製の商品名「ァフィ-ティー(AFFINITY)、商品名「エンゲージ」等のメタ口 セン触媒を用いて重合したエチレン α—ォレフイン共重合体のフィルムを使用する ことができる。
[0158] ヒートシール性榭脂層を構成するフィルムないしシートとしては、単層ないし多層で 使用することができ、その厚さとしては、 5 m〜300 m程度、好ましくは、 10 〜 100 m程度である。 [0159] 本発明にお 、て、上記のようなヒートシール性を有する榭脂のフィルムとして、メタ口 セン触媒を用いて重合したエチレン α—ォレフイン共重合体のフィルムないしシー トを使用する場合には、袋体を製造するときに、低温ヒートシール性が可能であるとい う利点を有する。
[0160] 本発明においては、ラミネート用接着剤層とヒートシール性榭脂層との間に、榭脂フ イルムを狭持してもよい。このような中間層を設けることにより、強度ゃ耐突き刺し性等 が向上する。榭脂のフィルムとしては、機械的、物理的、化学的、その他等において 優れた強度を有し、耐突き刺し性等に優れ、その他、耐熱性、防湿性、耐ピンホール 性、透明性、その他等に優れた榭脂のフィルムないしシートを使用することができる。
[0161] 具体的には、例えば、ポリエステル系榭脂、ポリアミド系榭脂、ポリアラミド系榭脂、 ポリプロピレン系榭脂、ポリカーボネート系榭脂、ポリアセタール系榭脂、フッ素系榭 脂、その他の強靱な榭脂フィルムな 、しシートを使用することができる。
[0162] 本発明においては、上記の榭脂のフィルムないしシートを使用し、これを、例えば、 前述のラミネート用接着剤等を使用してドライラミネート法等を用いて、ラミネート用接 着剤層とヒートシール性榭脂層との間に狭持することができる。
[0163] 上記の榭脂のフィルムないしシートとしては、未延伸フィルム、あるいは一軸方向ま たは二軸方向に延伸した延伸フィルム等のいずれのものでも使用することができる。 また、本発明において、その樹脂のフィルムないしシートの厚さとしては、強度、耐突 き刺し性、その他等について、必要最低限に保持され得る厚さであればよぐ厚すぎ ると、コストを上昇するという欠点もあり、逆に、薄すぎると、強度、耐突き刺し性、その 他等が抵下して好ましくな 、ものである。
[0164] 本発明においては、上記のような理由から、約 10 /z m〜: LOO /z m程度、好ましくは 、 12〜50 111程度カ^好まし1ヽ。
[0165] 通常、包装用袋は、物理的にも化学的にも過酷な条件におかれることから、包装用 袋を構成する積層材には、厳しい包装適性が要求され、変形防止強度、落下衝撃 強度、耐ピンホール性、耐熱性、密封性、品質保全性、作業性、衛生性、その他等 の種々の条件が要求される。このために、本発明においては、上記のような材料の他 に、上記のような諸条件を充足するその他の材料を任意に使用することができ、具体 的には、例えば、低密度ポリエチレン、中密度ポリエチレン、高密度ポリエチレン、線 状低密度ポリエチレン、ポリプロピレン、エチレン プロピレン共重合体、エチレン 酢酸ビュル共重合体、アイオノマー榭脂、エチレン アクリル酸ェチル共重合体、ェ チレン アクリル酸またはメタクリル酸共重合体、メチルペンテンポリマー、ポリブテン 系榭脂、ポリ塩ィ匕ビ二ル系榭脂、ポリ酢酸ビニル系榭脂、ポリ塩ィ匕ビ -リデン系榭脂 、塩ィ匕ビュル—塩ィ匕ビユリデン共重合体、ポリ (メタ)アクリル系榭脂、ポリアクリル-ト リル系榭脂、ポリスチレン系榭脂、アクリロニトリル—スチレン共重合体 (AS系榭脂)、 アクリロニトリル—ブタジエン一スチレン共重合体 (ABS系榭脂)、ポリエステル系榭脂 、ポリアミド系榭脂、ポリカーボネート系榭脂、ポリビニルアルコール系榭脂、エチレン 酢酸ビュル共重合体のケン化物、フッ素系榭脂、ジェン系榭脂、ポリアセタール系 榭脂、ポリウレタン系榭脂、ニトロセルロース、その他等の公知の榭脂のフィルムない しシートを任意に選択して使用することができる。その他、例えば、合成紙等も使用 することができる。
[0166] 本発明において、上記のフィルムないしシートは、未延伸、一軸ないし二軸方向に 延伸されたもの等のいずれのものでも使用することができる。また、その厚さは、任意 であるが、数 μ mから 300 μ m程度の範囲力も選択して使用することができる。
[0167] さらに、本発明においては、フィルムないしシートとしては、押出成膜、インフレーシ ヨン成膜、コーティング膜等のいずれの形態の膜でもよい。
[0168] 本発明は、以上において説明したように、基材フィルムの一方の面に、無機酸化物 の蒸着膜を設け、次いで、該無機酸化物の蒸着膜の上にガスバリア性塗布膜を設け たガスノ リア性積層体を用いて、種々のコーティング法もしくは印刷法、あるいは、ド ライラミネート法、その他等の方法を用いて、プライマー剤層、印刷模様層、および、 ラミネート用接着剤層を順次に設け、さらに、該ラミネート用接着剤層の上に、ヒート シール性榭脂層を設け、さらには上記のラミネート用接着剤層とヒートシール性榭脂 層との間に、強度を有し、耐突き刺し性に優れた榭脂のフィルムを積層することにより 、包装袋用の積層材を製造することができる。
[0169] 包装袋
上記の積層材を用いた包装袋について説明する。装用袋からなる袋状容器本体は 、上記したガスノ リア性積層フィルム力もなる積層材を使用して、この積層材を二つ 折にし、そのヒートシール性榭脂層の面を対向させて重ね合わせ、その端部をヒート シールして筒状の包装体を形成し、次いで底部をシールして内容物を充填し、さらに 天部をシールすることにより、包装体を製造することができる。
[0170] その製袋方法としては、上記のような積層材を、折り曲げるかあるいは重ね合わせ て、その内層の面を対向させ、さらにその周辺端部を、例えば、側面シール型、二方 シール型、三方シール型、四方シール型、封筒貼りシール型、合掌貼りシール型(ピ ローシール型)、ひだ付シール型、平底シール型、角底シール型、ガゼット型、その 他等のヒートシール形態によりヒートシールして、種々の形態の装用袋を製造すること ができる。その他、例えば、自立性包装用袋 (スタンディングバウチ)等も可能である
[0171] 上記において、ヒートシールの方法としては、例えば、バーシール、回転ロールシ ール、ベルトシール、インパルスシール、高周波シール、超音波シール等の公知の 方法で行うことができる。
実施例
[0172] 上記の本発明について実施例を挙げてさらに具体的に説明するが、本発明がこれ ら実施例によって限定されるものではない。
[0173] 実施例 1
(1)厚さ 12 /z mの二軸延伸ポリエチレンテレフタレートフィルムを使用し、これをプラ ズマ化学気相成長装置の送り出しロールに装着し、次いで、下記に示す条件で、上 記の二軸延伸ポリエチレンテレフタレートフィルムのコロナ処理面に、厚さ 200Aの酸 化珪素の蒸着膜を形成した。
(蒸着条件)
蒸着面;コロナ処理面
導入ガス;へキサメチルジシロキサン:酸素ガス:ヘリウム 1. 0 : 3. 0 : 3. 0 (単 : slm)
真空チャンバ一内の真空度; 2〜6 X 10_6mBar
蒸着チャンバ一内の真空度; 2〜5 X 10_3mBar 冷却 ·電極ドラム供給電力; 10kW
ライン速度; lOOm/min
[0174] 次に、上記で膜厚 200Aの酸化珪素の蒸着膜を形成した直後に、その酸化珪素の 蒸着膜面に、グロ一放電プラズマ発生装置を使用し、パワー 9kw、酸素ガス:アルゴ ンガス = 7.0:2.5 (単位: slm)力らなる混合ガスを使用し、混合ガス圧 6 X 10"5Tor rで酸素 Zアルゴン混合ガスプラズマ処理を行って、酸化珪素の蒸着膜面の表面張 力を 54dyneZcm以上向上させたプラズマ処理面を形成した。
[0175] (2)他方、下記表 1に示す組成に従って、組成(a)の EVOH、イソプロピルアルコー ル、およびイオン交換水の混合溶媒にて溶解した EVOH溶液に、予め調製した組成 (b)のェチルシリケート 40、イソプロピルアルコー、ァセチルアセトンアルミニウム、ィ オン交換水力ゝらなる加水分解液を加えて攪拌し、さらに予め調製した組成 (c)のポリ ビュルアルコール水溶液、酢酸、イソプロピルアルコールおよびイオン交換水からな る混合液を加えて攪拌し、無色透明のバリア性塗布膜形成用組成物を得た。
[表 1]
表 1
a EVOH (エチレン共重合率 29 %) 0. 122 (wt %) ィソプロピルアルコール 0. 659
H20 0. 439 b ェチルシリケ一ト 40 (コルコート社製) 9. 146
ィソプロピルアルコール 8. 780 アルミニウムァセチルァセトン 0. 0 18
H20 16. 29 1 c ポリビニルアルコール 1. 220
ィソプロピルアルコール 19. 893
H20 43. 329 酢酸 0. 103
.口 目十 100. 000 (wt %) [0176] 次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性塗布 膜用組成物をグラビアロールコート法によりコーティングして、コーティング後、 200°C の乾燥炉中を 300mZ分の速度で通過することにより、加熱処理を行い、厚さ 0. 4g /m2 (乾操状態)のガスノ リア性塗布膜を形成して、ガスバリア性積層フィルムを製 し 7こ。
[0177] 実施例 2
(1)厚さ 12 /z mの二軸延伸ポリエチレンテレフタレートフィルムを使用し、これをプラ ズマ化学気相成長装置の送り出しロールに装着し、次いで、下記に示す条件で、上 記の二軸延伸ポリエチレンテレフタレートフィルムのコロナ処理面に、厚さ 200Aの酸 化珪素の蒸着膜を形成した。
(蒸着条件)
蒸着面;コロナ処理面
導入ガス量;へキサメチルジシロキサン:酸素ガス:ヘリウム = 1. 0 : 3. 0 : 3. 0 (単位 : slm)
真空チャンバ一内の真空度; 2〜6 X 10_6mBar
蒸着チャンバ一内の真空度; 2〜5 X 10_3mBar
冷却 ·電極ドラム供給電力; 10kW
ライン速度; lOOm/min
[0178] 次に、上記で膜厚 200Aの酸化珪素の蒸着膜を形成した直後に、その酸化珪素の 蒸着膜面に、グロ一放電プラズマ発生装置を使用し、パワー 9kw、酸素ガス:アルゴ ンガス = 7. 0 : 2. 5 (単位: slm)力らなる混合ガスを使用し、混合ガス圧 6 X 10"5Tor rで酸素 Zアルゴン混合ガスプラズマ処理を行って、酸化珪素の蒸着膜面の表面張 力を 54dyneZcm以上向上させたプラズマ処理面を形成した。
[0179] (2)他方、下記表 2に示す組成に従って、調製した組成 (b)のポリビュルアルコール 、イソプロピルアルコール、およびイオン交換水力もなる混合液に、予め調製した組 成(a)のェチルシリケート、イソプロピルアルコール、塩酸、イオン交換水、およびシラ ンカップリング剤からなる加水分解液を加えて攪拌し、無色透明のノ リア性塗布膜用 組成物を得た。 [表 2] 表 2 (wt%) a ェチルシリケート (テトラエトキシシラン) 1 6. 6 6 7
イソプロピルアルコール 3. 9 0 0
2N 塩酸 0. 5 3 2
H20 2 2. 5 6 7 シランカップリング剤 (エポキシシリカ SH 6040) 0. 5 9 9 b ポリビニルアルコール 2. 3 3 3
H20 5 1. 3 0 1 イソプロピルアルコール 2. 1 0 1
計 100. 0 (wt%)
[0180] 次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性塗布 膜用組成物をグラビアロールコート法によりコーティングした。コーティング後、 200°C の乾燥炉中を 200mZ分の速度で通過することにより、加熱処理を行い、厚さ 0.3g /m2 (乾操状態)のガスノ リア性塗布膜を形成して、ガスバリア性積層フィルムを製 し 7こ。
[0181] 実施例 3
(1)厚さ 12/zmの二軸延伸ポリエチレンテレフタレートフィルムを使用し、これをプラ ズマ化学気相成長装置の送り出しロールに装着し、下記に示す条件で、二軸延伸ナ ィロンフィルムのコロナ処理面に、厚さ 200Aの酸化珪素の蒸着膜を形成した。
(蒸着条件)
反応ガス混合比;へキサメチルジシロキサン:酸素ガス:ヘリウム =1.2:5.0:2.5( 単位: Sim)
到達圧力; 5.0X10"5mbar
製膜圧力; 7.0X10"2mbar
ライン速度; 150m/min
パワー; 35kW [0182] 次に、上記で厚さ 200Aの酸ィ匕珪素の蒸着膜を形成した直後に、その酸化珪素の 蒸着膜面に、グロ一放電プラズマ発生装置を使用し、パワー 9kw、酸素ガス:アルゴ ンガス = 7. 0 : 2. 5 (単位: Sim)からなる混合ガスを使用し、混合ガス圧 6 X 10_2mb ar、処理速度 420mZminで酸素 Zアルゴン混合ガスプラズマ処理を行って、酸化 珪素の蒸着膜面の表面張力を 54dyneZcm以上に向上させたプラズマ処理面を形 成した。
[0183] (2)他方、下記表 3に示す組成に従って、組成 (a)の EVOHをイソプロピルアルコー ルおよびイオン交換水の混合溶媒中に溶解させた EVOH溶液に、予め調製した組 成(b)のェチルシリケート 40、イソプロピルアルコール、ァセチルアセトンアルミニウム 、イオン交換水力ゝらなる加水分解液を加えて攪拌し、さらに予め調製した組成 ( の ポリビュルアルコール水溶液、シランカップリング剤、酢酸、イソプロピルアルコール およびイオン交換水力、らなる混合液を加えて攪拌し、無色透明のノ リア性塗布膜用 組成物を得た。
[表 3]
表 3 (wt%) a EVOH (エチレン共重合率 29%) 0. 610 イソプロピルアルコール 3. 294
H20 2. 196 b ェチルシリケート 40 (コルコート社製) 11. 460 イソプロビルアルコール 17. 662 アルミニウムァセチルァセトン 0. 020
H20 13. 752 c ポリビニルアルコール 1. 520 シランカヅプリンク (エポキシシリカ SH6040) 0. 520 イソプロピルアルコール 13. 844
H20 35. 462 麵 0. 130
合 計 00. 000 (wt%)
[0184] 次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性塗布 膜用組成物をグラビアロールコート法によりコーティングして、次いで、 180°Cの乾燥 炉中を 300mZminで通過させ、加熱乾燥して、厚さ 0.4gZm2 (乾操状態)のガス ノ リア性塗布膜を形成して、ガスノ リア性積層フィルムを製造した。
[0185] 実施例 4
(1)厚さ 12/zmの二軸延伸ポリエチレンテレフタレートフィルムを使用し、これをプラ ズマ化学気相成長装置の送り出しロールに装着し、次いで、下記に示す条件で、上 記の二軸延伸ポリエチレンテレフタレートフィルムのコロナ処理面に、厚さ 200Aの酸 化珪素の蒸着膜を形成した。
(蒸着条件)
蒸着面;コロナ処理面
導入ガス量;へキサメチレンジシロキサン:酸素ガス:ヘリウム =1.0:3.0:3.0(単 位: slm) 真空チャンバ一内の真空度; 2〜6X10 mBar
蒸着チャンバ一内の真空度; 2〜5X10_3mBar
冷却 ·電極ドラム供給電力; 10kW
ライン速度; lOOm/min
[0186] 次に、上記で膜厚 200Aの酸化珪素の蒸着膜を形成した直後に、その酸化珪素の 蒸着膜面上に、グロ一放電プラズマ発生装置を使用し、パワー 9kw、酸素ガス:アル ゴンガス = 7.0:2.5 (単位: slm)力もなる混合ガスを使用し、混合ガス圧 6 X 10"5T orrで酸素 Ζアルゴン混合ガスプラズマ処理を行って、酸化珪素の蒸着膜面の表面 張力を 54dyneZcm以上に向上させたプラズマ処理面を形成した。
[0187] (2)他方、下記表 4に示す組成に従って調製した組成 (b)のポリビュルアルコール、 エタノール、イオン交換水力もなる混合液に、予め調製しておいた組成 (a)のェチル シリケート、エタノール、塩酸、イオン交換水、およびシランカップリング剤からなる加 水分解液を加えて攪拌し、無色透明のバリア性塗布膜用組成物を得た。
[表 4] 表 4 (wt%) a ェチルシリケ一ト (テトラエトキシシラン) 20. 533 エタノール 4. 80
2N 輔 0. 656
H20 27. 800 シラン力ヅプリング剤 (エポキシシリカ SH6040) 0. 599 b ポリビニルアルコール 1. 913
H20 41. 486 エタノール 2. 213 合 計 1 00. 000 (wt%) 次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性組成 物をグラビアロールコート法によりコーティングした。コーティング後、 200°Cの乾燥炉 中を 200mZ分の速度で通過することにより、加熱処理を行い、厚さ 0. 3gZm2 (乾 操状態)のガスバリア性塗布膜を形成して、ガスバリア性積層フィルムを製造した。
[0189] 実施例 5
基材フィルムとして、厚さ mの 2軸延伸ポリエチレンテレフタレートフィルムを準 備し、これを 3室力もなるプラズマ化学気相成長装置に装着した。
[0190] 次に、プラズマ化学気相成長装置のチャンバ一内を減圧した。
一方、原料である有機珪素化合物であるへキサメチルジシロキサン (以下、 HMDS Oという。)を原料揮発供給装置おいて揮発させ、ガス供給装置から供給された酸素 ガスおよび不活性ガスであるヘリウムと混合させて原料ガスとした。
[0191] 第 1の製膜室で使用する原料ガスとして、原料ガスの混合比を、 HMDSO : 0 : He
2
= 1 : 0 : 1 (単位; slm)とし、また、第 2の製膜室で使用する原料ガスとして、原料ガス の混合比を、 HMDSO : 0 : He= 1 : 10 : 1 (単位; slm)とした。
2
なお、第 3の製膜室は使用しな力つた。
[0192] 上記のような原料ガスを使用し、その原料ガスをそれぞれ第 1の製膜室および第 2 の製膜室にそれぞれ導入し、次いで、上記の厚さ 12 mの 2軸延伸ポリエチレンテ レフタレ一トフイルムをライン速度 200mZminで搬送させながら、電力を印加させ、 厚さ 12 μ mの 2軸延伸ポリエチレンテレフタレートフィルムの一方のコロナ処理面の 上に、第 1層の膜厚 60A、第 2層の膜厚 70A、総膜厚 130Aからなる 2層重層の珪 素酸化物層を製膜化して、蒸着膜を形成した。
[0193] (2)他方、下記表 5に示す組成に従って、組成(a)のェチルシリケート 40、イソプロ ピルアルコール、ァセチルアセトンアルミニウム、イオン交換水からなる加水分解液を 加えて攪拌し、予め調製した組成 (b)のポリビュルアルコール水溶液、シランカツプリ ング剤、酢酸、イソプロピルアルコールおよびイオン交換水力もなる混合液をカ卩えて 撹搾し、無色透明のノ リア性塗布膜用組成物を得た。
[表 5] 表 5 (wt ) a ェチルシリケ一ト 40 (コルコ一トネ土製) 11. 460 ィソプロピルアルコール 17. 662
アルミニウムァセチルアセトン 0. 020
H20 13. 752
b ポリビニルアルコール 2. 130 シランカヅプリング剤 (エポキシシリカ SH6040) 0. 050
イソプロピルアルコール 13. 844
H20 35. 462
麵 0. 130
合 計 100. 000 (wt%)
[0194] 次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性塗布 膜用組成物をグラビアロールコート法によりコーティングして、次いで、 180°Cの乾燥 炉中を 300mZminで通過させ、加熱乾燥して、厚さ 0.4gZm2 (乾操状態)のガス ノ リア性塗布膜を形成して、ガスノ リア性積層フィルムを製造した。
[0195] 実施例 6
(1)基材フィルムとして、厚さ 12 mの 2軸延伸ポリエチレンテレフタレートフィルムを 準備し、これを 3室力もなるプラズマ化学気相成長装置に装着した。
[0196] 次に、プラズマ化学気相成長装置のチャンバ一内を減圧した。
一方、原料の有機珪素化合物である HMDSOを原料揮発供給装置お ヽて揮発さ せ、ガス供給装置カゝら供給された酸素ガスおよび不活性ガスであるヘリウムと混合さ せて原料ガスとした。
[0197] 第 1の製膜室で使用する原料ガスとして、原料ガスの混合比を、 HMDSO:0 : He
2
=1:0:1(単位; slm)とし、また、第 2の製膜室で使用する原料ガスとして、原料ガス の混合比を、 HMDSO: O : He = 1: 10: 1 (単位; slm)とし、さらに、第 3の製膜室で
2
使用する原料ガスとして、原料ガスの混合比を、 HMDSO: O : He = 1: 0: 1 (単位; s
2
lm)とした。 [0198] 上記のような原料ガスを使用し、その原料ガスをそれぞれ第 1の製膜室、第 2の製 膜室、および、第 3の製膜室にそれぞれ導入し、次いで、上記の厚さ 12 mの 2軸延 伸ポリエチレンテレフタレートフィルムをライン速度 300mZminで搬送させながら、 電力を印加させ、厚さ 12 μ rnの 2軸延伸ポリエチレンテレフタレートフィルムの一方 のコロナ処理面の上に、第 1層の膜厚 40A、第 2層の膜厚 45A、第 3層の膜厚 45A 、総膜厚 130Aからなる 3層重層の珪素酸ィ匕物層を製膜ィ匕して、複数層の蒸着膜を 形成した。
[0199] (2)他方、下記表 6に示す組成に従って調製した組成 (b)ポリビニルアルコール、ェ タノールおよびイオン交換水力ゝらなる混合液に、予め調製してぉ 、た組成 (a)のェチ ルシリケート、エタノール、塩酸、イオン交換水、およびシランカップリング剤からなる 加水分解液を加えて攪拌し、無色透明のノ リア性塗布膜用組成物を得た。
[表 6] 表 6 、w t %) a ェチルシリケ一ト 1 6 . 6 6 7
エタノール 3 . 9 0 0
2 N 驢 0 . 5 3 2
H20 2 2 . 5 6 7 シランカップリング剤 0 . 5 9 9 b ポリビニルアルコール 2 - 3 3 3
H20 5 1 . 3 0 1 エタノール 2 . 1 0 1 合 計 1 0 0 . 0 (wt %)
[0200] 次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性塗布 膜用組成物をグラビアロールコート法によりコーティングした。コーティング後、 200°C の乾燥炉中を 200mZ分の速度で通過することにより、加熱処理を行い、厚さ 0. 3g /m2 (乾操状態)のガスノ リア性塗布膜を形成して、ガスバリア性積層フィルムを製 し 7こ。 [0201] 実施例 7
(1)基材フィルムとして、厚さ 12 mの 2軸延伸ポリエチレンテレフタレートフィルムを 使用し、蒸着前処理として蒸着を施す面にプラズマ処理を実施した。
[0202] 次いで、上記の 2軸延伸ポリエチレンテレフタレートフィルムを巻き取り式の真空蒸 着装置の送り出しロールに装着し、これを繰り出し、その 2軸延伸ポリエチレンテレフ タレートフィルムのプラズマ処理面に、アルミニウムを蒸着原に用いて、酸素ガスを供 給しながら、エレクトロンビーム (EB)加熱方式による真空蒸着法により、下記の蒸着 条件により、膜厚 200Aの酸ィ匕アルミニウムの蒸着膜を形成した。
(蒸着条件)
蒸着チャンバ一内の真空度: 2 X 10_4mbar
巻き取りチャンバ一内の真空度: 2 X 10_2mbar
電子ビーム電力: 25kW
フィルムの搬送速度: 240mZ分
蒸着面:コロナ処理面
[0203] 次に、上記で厚さ 200 Aの酸ィ匕アルミニウムの蒸着膜を形成した直後に、その酸化 アルミニウムの蒸着膜面に、グロ一放電プラズマ発生装置を使用し、パワー 9kw、酸 素ガス:アルゴンガス = 7. 0 : 2. 5 (単位: Sim)からなる混合ガスを使用し、混合ガス 圧 6 X 10_2mbar、処理速度 420mZminで酸素 Zアルゴン混合ガスプラズマ処理 を行って、酸ィ匕アルミニウムの蒸着膜面の表面張力を 54dyneZcm以上に向上させ たプラズマ処理面を形成した。
[0204] (2)他方、上記表 1に示す組成に従って、実施例 1と同様にして無色透明のガスバ リア性塗布膜用組成物を得た。
次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性塗布 膜用組成物をグラビアロールコート法によりコーティングした。コーティング後、 200°C の乾燥炉中を 300mZ分の速度で通過することにより、加熱処理を行い、厚さ 0. 4g /m2 (乾操状態)のガスノ リア性塗布膜を形成して、ガスバリア性積層フィルムを製 し 7こ。
[0205] 実施例 8 (1)基材フィルムとして、厚さ 12 μ mの 2軸延伸ポリエチレンテレフタレートフィルム を使用し、蒸着前処理として蒸着を施す面にプラズマ処理を実施した。次いで、上記 の 2軸延伸ポリエチレンテレフタレートフィルムを巻き取り式の真空蒸着装置の送り出 しロールに装着し、これを繰り出し、その 2軸延伸ポリエチレンテレフタレートフィルム のプラズマ処理面に、アルミニウムを蒸着剤に用いて、酸素ガスを供給しながら、エレ クトロンビーム (EB)加熱方式による真空蒸着法により、実施例 7と同様の蒸着条件に より、膜厚 200Aの酸ィ匕アルミニウムの蒸着膜を形成した。
さらに実施例 1と同様にして、酸ィ匕アルミニウムの蒸着膜面の表面張力を 54dyne Zcm以上に向上させたプラズマ処理面を形成した。
[0206] (2)他方、表 2に示す組成に従って、実施例 2と同様にして無色透明のバリア性塗 布膜用組成物を得た。
次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性塗布 膜用組成物をグラビアロールコート法によりコーティングした。コーティング後、 200°C の乾燥炉中を 300mZ分の速度で通過することにより、加熱処理を行い、厚さ 0. 4g /m2 (乾操状態)のガスノ リア性塗布膜を形成して、ガスバリア性積層フィルムを製 し 7こ。
[0207] 実飾 19
(1)基材フィルムとして、厚さ 12 mの 2軸延伸ポリエチレンテレフタレートフィルムを 使用し、前処理として蒸着を施す面にコロナ処理を行った。次いで、上記の 2軸延伸 ポリエチレンテレフタレートフィルムを巻き取り式の真空蒸着装置の送り出しロールに 装着し、これを繰り出し、その 2軸延伸ポリエチレンテレフタレートフィルムのコロナ処 理面に、アルミニウムを蒸着原に用いて、酸素ガスを供給しながら、エレクトロンビー ム (EB)加熱方式による真空蒸着法により、実施例 8と同様の蒸着条件により、膜厚 2 OOAの酸ィ匕アルミニウムの蒸着膜を形成した。
さらに実施例 7と同様にして、酸ィ匕アルミニウムの蒸着膜面の表面張力を 54dyne Zcm以上に向上させたプラズマ処理面を形成した。
[0208] (2)他方、上記表 3に示す組成に従って、実施例 3と同様にして無色透明のバリア性 塗布膜用組成物を得た。 次に、上記の(1)で形成したプラズマ処理面に、上記で調製したガスノ リア性塗布 膜用組成物をグラビアロールコート法によりコーティングした。コーティング後、 200°C の乾燥炉中を 200mZ分の速度で通過することにより、加熱処理を行い、厚さ 0. 3g /m2 (乾操状態)のガスノ リア性塗布膜を形成して、ガスバリア性積層フィルムを製 •laし 7こ。
[0209] 実施例 10
(1)基材フィルムとして、厚さ 12 μ mの 2軸延伸ポリエチレンテレフタレートフィルム を使用し、蒸着を施す面にプライマーコート層を設けた。
次 、で、上記の 2軸延伸ポリエチレンテレフタレートフィルムを巻き取り式の真空蒸 着装置の送り出しロールに装着し、これを繰り出し、その 2軸延伸ポリエチレンテレフ タレ一トフイルムのプライマー層面に、アルミニウムを蒸着済に用いて、酸素ガスを供 給しながら、エレクトロンビーム (EB)加熱方式による真空蒸着法により、実施例 7と同 様の蒸着条件により、膜厚 200Aの酸ィ匕アルミニウムの蒸着膜を形成した。
さらに実施例 7と同様にして、酸ィ匕アルミニウムの蒸着膜面の表面張力を 54dyne Zcm以上に向上させたプラズマ処理面を形成した。
[0210] (2)他方、実施例 4と同様にしてガスノ リア性塗布膜を形成し、ガスバリア性積層フ イルムを製造した。
[0211] ¾細 1
(1)基材フィルムとして、厚さ 12 mの 2軸延伸ポリエチレンテレフタレートフィルムを 使用し、蒸着を施す面にプライマーコート層を設けた。
次 、で、上記の 2軸延伸ポリエチレンテレフタレートフィルムを巻き取り式の真空蒸 着装置の送り出しロールに装着し、これを繰り出し、その 2軸延伸ポリエチレンテレフ タレ一トフイルムのプライマー層面に、アルミニウムを蒸着済に用いて、酸素ガスを供 給しながら、エレクトロンビーム (EB)加熱方式による真空蒸着法により、実施例 7と同 様の蒸着条件により、膜厚 200Aの酸ィ匕アルミニウムの蒸着膜を形成した。
さらに実施例 7と同様にして、酸ィ匕アルミニウムの蒸着膜面の表面張力を 54dyne Zcm以上に向上させたプラズマ処理面を形成した。
[0212] (2)他方、実施例 6と同様にしてガスノ リア性塗布膜を形成し、ガスノ リア性積層フィ ルムを製造した。
[0213] 実施例 12
(1)基材
フィルムとして、厚さ 15 mの 2軸延伸ナイロン 6フィルムを使用し、前処理としてプ ラズマ処理を行った。次いで、上記の 2軸延伸ナイロン 6フィルムを巻き取り式の真空 蒸着装置の送り出しロールに装着し、これを繰り出し、その 2軸延伸ナイロン 6フィル ムのプラズマ処理面に、アルミニウムを蒸着源に用いて、酸素ガスを供給しながら、ェ レクトロンビーム (E8)加熱方式による真空蒸着法により、実施例 7と同様の蒸着条件 により、膜厚 200Aの酸ィ匕アルミニウムの蒸着膜を形成した。
次に、上記で厚さ 200Aの酸ィ匕アルミニウムの蒸着膜を形成した直後に、その酸化 アルミニウムの蒸着膜面に、上記の実施例 7と同様にして、プラズマ処理面を形成し た。
[0214] (2)他方、上記表 6に示す組成に従って、実施例 6と同様にしてガスバリア性塗布膜 を形成し、ガスバリア性積層フィルムを製造した。
[0215] M
上記の実施例 1〜 12で製造したガスバリア性積層フィルムにつ 、て、酸素透過度、 水蒸気透過度を測定した。
(1)酸素透過度の測定
酸素透過度の測定は、温度 23°C、湿度 90%RHの条件で、米国、モコン(MOCO N)社製の測定機〔機種名、オクストラン (OXTRAN)〕にて測定した。
[0216] (2)水蒸気透過度の測定
水蒸気透過度の測定は、温度 40°C、湿度 90%RHの条件で、米国、モコン (MOC ON)社製の測定機〔機種名、パーマトラン (PERMATRAN)〕にて測定した。
[0217] 測定結果は下記の表 7に示される通りであった。
[表 7] 表 7
Figure imgf000048_0001

Claims

請求の範囲
[1] 基材上に無機酸化物の蒸着膜が設けられ、その蒸着膜上にガスバリア性塗布膜が 設けられてなるガスバリア性積層フィルムであって、
基材の、蒸着膜が形成される面側には、前処理またはプライマーコート処理が施さ れてなり、
前記ガスノ リア性塗布膜は、前記無機酸ィ匕物膜上にガスノ リア性塗工液を塗布し た後、加熱することにより形成されたものである、ことを特徴とする、ガスバリア性積層 フイノレム。
[2] 前記蒸着膜が、化学気相成長法により形成されたものである、請求項 1に記載のガ スバリア性積層フィルム。
[3] 前記蒸着膜が、物理気相成長法により形成されたものである、請求項 1に記載のガ スバリア性積層フィルム。
[4] 前記基材が、 2軸延伸ポリエステル系榭脂フィルム、 2軸延伸ポリアミド系榭脂フィル ム、または、 2軸延伸ポリオレフイン系榭脂フィルム力もなる、請求項 1〜3のいずれか 一項に記載のガスノ リア性積層フィルム。
[5] 前記基材の前処理が、グロ一放電処理、プラズマ処理、コロナ処理、またはマイクロ ウェーブ処理である、請求項 1〜4の!、ずれか一項に記載のガスバリア性積層フィル ム。
[6] 前記の前処理に、酸素、アルゴン、窒素、ヘリウムガス、またはこれらの混合ガスを 用いる、請求項 5に記載のガスノ リア性積層フィルム。
[7] 前記基材のプライマーコート処理が、ポリエステル、アクリル、ウレタン榭脂、および イソシァネート硬化剤からなる塗工液を基材上に塗布することにより行われる、請求 項 1〜6のいずれか一項に記載のガスバリア性積層フィルム。
[8] 前記化学気相成長法による蒸着膜が、少なくとも 2室以上の製膜室を使用し、かつ 、各室毎に、少なくとも、有機珪素化合物の 1種以上からなる製膜用モノマーガス、酸 素ガス、および不活性ガスを含有する製膜用混合ガス組成物の各ガス成分の混合 比を変えて調製した 2以上の製膜用混合ガス組成物を使用し、その各製膜用混合ガ ス組成物を使用して製膜した 2層以上のプラズマ化学気相成長法による珪素酸ィ匕物 層からなり、さらに、該各珪素酸化物層は、その膜中に炭素原子を含有し、かつ、各 珪素酸ィ匕物層毎に炭素含有量が異なるものである、請求項 2に記載のガスバリア性 積層フィルム。
[9] 前記蒸着膜の、ガスノ リア性塗布膜が形成される面側には、後処理が施されてなり 、その後処理が、グロ一放電処理、プラズマ処理、マイクロウェーブ処理である、請求 項 1〜8のいずれか一項に記載のガスバリア性積層フィルム。
[10] 前記ガスバリア性塗布膜が、一般式 R1 M (OR2) (式中、 Mは金属原子を表し、 R1
n m
、 R2は炭素数 1〜8の有機基を表し、 nは 0以上の整数であり、 mは 1以上の整数であ り、 n+mは Mの原子価を表す)で表される少なくとも 1種以上のアルコキシド、ポリビ -ルアルコール、および Zまたはエチレン 'ビュルアルコールを含んでなる組成物を 、ゾルゲル法によって重縮合して得られるアルコキシドの加水分解物またはアルコキ シドの加水分解縮合物からなる、請求項 1〜9のいずれか一項に記載のガスノ リア性 積層フィルム。
[11] 前記組成物がシランカップリング剤をさらに含んでなる、請求項 10に記載のガスバ リア性積層フィルム。
[12] 前記ガスバリア性塗布膜が、複数層形成されてなる、請求項 1〜11のいずれか一 項に記載のガスノ リア性積層フィルム。
[13] 前記蒸着膜上に形成したガスバリア性塗布膜上に、さらに無機酸化物の蒸着膜を 設け、その蒸着膜上に前記ガスバリア性塗布膜を設けてなる、請求項 1〜12に記載 のガスバリア性積層フィルム。
[14] 請求項 1〜13のいずれか一項に記載のガスバリア性積層フィルムを製造する方法 であって、
基材を準備し、その基材の一方の面を前処理またはプライマーコート処理し、 前記基材の処理面上に、無機酸化物の蒸着膜を形成し、
前記蒸着膜上に、ガスノ リア性塗工液を塗布して、 150〜250°Cで加熱することに よりガスノ リア性塗布膜を形成する、ことを特徴とする、方法。
[15] 前記蒸着膜が、化学気相成長法により形成されたものである、請求項 14に記載の 方法。
[16] 前記蒸着膜が、物理気相成長法により形成されたものである、請求項 14に記載の 方法。
[17] 前記基材の前処理が、グロ一放電処理、プラズマ処理、コロナ処理、またはマイクロ ウェーブ処理である、請求項 14に記載の方法。
[18] 前記の前処理に、酸素、アルゴン、窒素、ヘリウムガス、またはこれらの混合ガスを 用いる、請求項 17に記載の方法。
[19] 前記基材のプライマーコート処理が、ポリエステル、アクリル、ウレタン榭脂、および イソシァネート硬化剤からなる塗工液を基材上に塗布することにより行われる、請求 項 14〜18のいずれか一項に記載の方法。
[20] 前記化学気相成長法による蒸着膜が、少なくとも 2室以上の製膜室を使用し、かつ
、各室毎に、少なくとも、有機珪素化合物の 1種以上からなる製膜用モノマーガス、酸 素ガス、および不活性ガスを含有する製膜用混合ガス組成物の各ガス成分の混合 比を変えて調製した 2以上の製膜用混合ガス組成物を使用し、その各製膜用混合ガ ス組成物を使用して製膜した 2層以上のプラズマ化学気相成長法による珪素酸ィ匕物 層からなり、さらに、該各珪素酸化物層は、その膜中に炭素原子を含有し、かつ、各 珪素酸ィ匕物層毎に炭素含有量が異なるものである、請求項 15に記載の方法。
[21] 前記蒸着膜の、ガスバリア性塗布膜が形成される面側に、後処理を施すことをさら に不含んでなり、前記後処理が、グロ一放電処理、プラズマ処理、マイクロウェーブ 処理である、請求項 14〜20の 、ずれか一項に記載の方法。
[22] 前記ガスバリア性塗工液を前記蒸着膜上に塗布し、 150〜200°Cに加熱することを 含んでなる、請求項 21に記載の方法。
[23] 請求項 1〜13に記載のガスバリア性積層フィルムを用いた包装用積層材であって、 前記積層フィルムのガスノ リア性塗布膜上にヒートシール性榭脂層が設けられてなる
、ことを特徴とする、包装用積層材。
[24] 前記ガスノ リア性塗布膜と前記ヒートシール性榭脂層とが、プライマー剤層および 溶融押出榭脂層を介して、溶融押出ラミネートされてなる、請求項 23に記載の包装 用積層材。
[25] 前記ヒートシール性榭脂層がポリオレフイン系榭脂からなる、請求項 23または 24に 記載の包装用積層材。
[26] 前記ガスノ リア性塗布膜と前記ヒートシール性榭脂層との間に、中間基材が設けら れてなる、請求項 23〜25のいずれか一項に記載の包装用積層材。
[27] 請求項 23〜26のいずれか一項に記載の包装用積層材を用いた包装袋であって、 一方の包装用積層材のヒートシール性榭脂層側と、他方の包装用積層材のヒートシ 一ル性榭脂層側とが対抗するように重ね合わせ、その端部がヒートシールされてなる 、包装袋。
PCT/JP2005/014926 2004-08-17 2005-08-15 ガスバリア性積層フィルムおよびその製造方法 WO2006019083A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2005800353905A CN101039801B (zh) 2004-08-17 2005-08-15 气体阻隔性叠层薄膜及其制造方法
EP20050780234 EP1787796B1 (en) 2004-08-17 2005-08-15 Gas barrier multilayer film and method for producing same
KR1020077006009A KR101392300B1 (ko) 2004-08-17 2007-03-15 가스 배리어성 적층 필름 및 그 제조 방법

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP2004236996A JP2006056007A (ja) 2004-08-17 2004-08-17 ガスバリア性積層フィルムおよびそれを使用した積層材
JP2004-237598 2004-08-17
JP2004237598A JP2006056036A (ja) 2004-08-17 2004-08-17 ガスバリア性積層フィルムおよびそれを使用した積層材
JP2004-236996 2004-08-17
JP2004333220 2004-11-17
JP2004-333220 2004-11-17
JP2005039758A JP4549880B2 (ja) 2004-11-17 2005-02-16 透明ガスバリア性積層体
JP2005-039758 2005-02-16

Publications (1)

Publication Number Publication Date
WO2006019083A1 true WO2006019083A1 (ja) 2006-02-23

Family

ID=35907470

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/014926 WO2006019083A1 (ja) 2004-08-17 2005-08-15 ガスバリア性積層フィルムおよびその製造方法

Country Status (4)

Country Link
US (1) US7811669B2 (ja)
EP (1) EP1787796B1 (ja)
KR (1) KR101392300B1 (ja)
WO (1) WO2006019083A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007216504A (ja) * 2006-02-16 2007-08-30 Dainippon Printing Co Ltd ガスバリア性積層フィルムおよびその製造方法
JP2008179104A (ja) * 2007-01-26 2008-08-07 Dainippon Printing Co Ltd バリア性フィルム
JP2008264998A (ja) * 2007-04-16 2008-11-06 Dainippon Printing Co Ltd ガスバリア性積層フィルム、その製造方法、それを使用した包装用積層材、および包装袋
JP2009113355A (ja) * 2007-11-07 2009-05-28 Toppan Printing Co Ltd バリアフィルム
US8823154B2 (en) 2009-05-08 2014-09-02 The Regents Of The University Of California Encapsulation architectures for utilizing flexible barrier films
CN105579228A (zh) * 2014-01-17 2016-05-11 Lg化学株式会社 阻挡膜及制备该阻挡膜的方法
EP3939782A4 (en) * 2019-03-13 2022-12-07 Sumitomo Chemical Company Limited GAS BARRIER LAMINATE

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9307648B2 (en) * 2004-01-21 2016-04-05 Microcontinuum, Inc. Roll-to-roll patterning of transparent and metallic layers
US9039401B2 (en) 2006-02-27 2015-05-26 Microcontinuum, Inc. Formation of pattern replicating tools
WO2006033233A1 (ja) * 2004-09-21 2006-03-30 Konica Minolta Holdings, Inc. 透明ガスバリア性フィルム
US9389445B2 (en) * 2007-03-08 2016-07-12 Japan Display Inc. Electro-optic device and electronic apparatus
BRPI0721518B1 (pt) 2007-04-04 2018-05-08 Tetra Laval Holdings & Finance métodos para fabricar uma película de barreira para o acondicionamento de alimentos ou bebidas, um laminado de acondicionamento, e um recipiente de acondicionamento
EP2033986A1 (de) * 2007-09-03 2009-03-11 Alcan Technology &amp; Management Ltd. Verpackungsteil und Verfahren zu seiner Herstellung
US8956731B2 (en) * 2008-02-27 2015-02-17 Dai Nippon Printing Co., Ltd. Gas barrier sheet
EP2166130A1 (de) * 2008-09-17 2010-03-24 Alcan Technology &amp; Management Ltd. Kunststoffilm mit guter Barrierewirkung nach einer Sterilisierbehandlung
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
WO2010113145A2 (en) * 2009-04-01 2010-10-07 Itw Ireland An appliqué to provide a design on a fabric
US9605122B2 (en) 2009-07-31 2017-03-28 Toyo Boseki Kabushiki Kaisha Gas-barrier multilayer film
JP5270505B2 (ja) 2009-10-05 2013-08-21 株式会社神戸製鋼所 プラズマcvd装置
US8754407B2 (en) * 2009-12-14 2014-06-17 Konica Minolta Holdings, Inc. Gas barrier film, method of manufacturing gas barrier film, and organic photoelectric conversion element
JP5668459B2 (ja) 2009-12-24 2015-02-12 東洋紡株式会社 ガスバリア性積層フィルム
DE102010038912A1 (de) * 2010-08-04 2012-02-09 Huhtamaki Ronsberg Zn Der Huhtamaki Deutschland Gmbh & Co. Kg Bag-on-Valve-System mit einem Füllgut-Behälter für aggressive Füllgüter, Füllgut-Behälter für ein Bag-on-Valve-System, Folienlaminat zur Herstellung eines Füllgut-Behälters und Verwendung des Folienlaminats für ein Bag-on-Valve-System
KR101430892B1 (ko) 2010-12-27 2014-08-18 코니카 미놀타 가부시키가이샤 가스 배리어성 필름 및 전자 디바이스
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
DE102011017403A1 (de) * 2011-04-18 2012-10-18 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum Abscheiden eines transparenten Barriereschichtsystems
EP3736353A1 (en) 2011-12-28 2020-11-11 Dai Nippon Printing Co., Ltd. Vapor deposition apparatus having pretreatment device that uses plasma
WO2013141918A1 (en) * 2012-03-21 2013-09-26 Exxonmobil Oil Corporation Metallized films and methods of making metallized films
US9397318B2 (en) * 2012-09-04 2016-07-19 Applied Materials, Inc. Method for hybrid encapsulation of an organic light emitting diode
IN2015DN03311A (ja) * 2012-09-28 2015-10-09 Dainippon Printing Co Ltd
EP2719533A1 (de) * 2012-10-12 2014-04-16 Amcor Flexibles Kreuzlingen Ltd. Isolierverglasungseinheit
US9589797B2 (en) 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
WO2015157593A1 (en) * 2014-04-10 2015-10-15 Nanopack, Inc. Enhanced barrier films combining vapor deposited coatings and polymer based coatings
JP6331652B2 (ja) * 2014-04-25 2018-05-30 凸版印刷株式会社 ガスバリア性フィルムおよびガスバリア性積層体
JP6521584B2 (ja) * 2014-07-17 2019-05-29 株式会社小糸製作所 透光性樹脂部材
KR102421821B1 (ko) 2014-07-25 2022-07-15 미쯔비시 케미컬 주식회사 가스 배리어성 적층 필름
WO2016067285A1 (en) * 2014-10-27 2016-05-06 Tipa Corp. Ltd Biodegradable sheets
WO2017116106A1 (ko) * 2015-12-31 2017-07-06 서울대학교산학협력단 접착제를 사용하지 않는 다층구조의 수분 및 기체 고차단성 유연필름 및 그 제조방법
US10253192B2 (en) * 2016-08-29 2019-04-09 The Boeing Company Barrier coating system
WO2018107177A1 (en) * 2016-12-09 2018-06-14 Jindal Films Americas Llc High-barrier, metal-oxide films
JP6840251B2 (ja) * 2017-09-06 2021-03-10 富士フイルム株式会社 ガスバリアフィルムおよびガスバリアフィルムの製造方法
CN107984844A (zh) * 2017-12-11 2018-05-04 杭州科能新材料科技有限公司 一种适用食品包装的封口膜及其制备方法
KR20200135828A (ko) * 2018-03-22 2020-12-03 다이니폰 인사츠 가부시키가이샤 배리어성 적층 필름 및 상기 배리어성 적층 필름을 이용한 포장 재료
WO2019182018A1 (ja) 2018-03-23 2019-09-26 大日本印刷株式会社 バリア樹脂フィルム、バリア積層体及び該バリア積層体を用いた包装材料
KR102347214B1 (ko) * 2020-02-28 2022-01-06 한국과학기술연구원 그래핀 복합 배리어 필름 및 그 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07164591A (ja) * 1993-09-30 1995-06-27 Toppan Printing Co Ltd ガスバリア性積層フィルム
JP2000071396A (ja) * 1998-05-26 2000-03-07 Nakato Kenkyusho:Kk ガスバリアー性積層フィルム及びその製造方法
JP2000127585A (ja) * 1998-10-30 2000-05-09 Brother Ind Ltd スタンプ作成装置および印版識別ラベルシート
JP2000127286A (ja) * 1998-10-27 2000-05-09 Dainippon Printing Co Ltd バリア性フィルムおよびそれを使用した積層材
JP2000263681A (ja) * 1999-03-12 2000-09-26 Dainippon Printing Co Ltd 積層材およびそれを使用した包装用容器
JP2003276111A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層フィルム

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5804300A (en) * 1991-12-28 1998-09-08 Toppan Printing Co., Ltd. Method of producing laminated packaging material
JP3219570B2 (ja) 1993-09-16 2001-10-15 ダイセル化学工業株式会社 ガスバリア性フィルム
MXPA01001619A (es) * 1998-08-21 2002-04-08 Toppan Printing Co Ltd Pelicula de deposicion de vapor y material de empaque.
JP2000127285A (ja) 1998-10-27 2000-05-09 Dainippon Printing Co Ltd バリア性フィルムおよびそれを使用した積層材
US20010038894A1 (en) * 2000-03-14 2001-11-08 Minoru Komada Gas barrier film
CA2443114C (en) * 2001-04-09 2009-06-16 Toppan Printing Co., Ltd. Laminated material

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07164591A (ja) * 1993-09-30 1995-06-27 Toppan Printing Co Ltd ガスバリア性積層フィルム
JP2000071396A (ja) * 1998-05-26 2000-03-07 Nakato Kenkyusho:Kk ガスバリアー性積層フィルム及びその製造方法
JP2000127286A (ja) * 1998-10-27 2000-05-09 Dainippon Printing Co Ltd バリア性フィルムおよびそれを使用した積層材
JP2000127585A (ja) * 1998-10-30 2000-05-09 Brother Ind Ltd スタンプ作成装置および印版識別ラベルシート
JP2000263681A (ja) * 1999-03-12 2000-09-26 Dainippon Printing Co Ltd 積層材およびそれを使用した包装用容器
JP2003276111A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層フィルム

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1787796A4 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007216504A (ja) * 2006-02-16 2007-08-30 Dainippon Printing Co Ltd ガスバリア性積層フィルムおよびその製造方法
JP2008179104A (ja) * 2007-01-26 2008-08-07 Dainippon Printing Co Ltd バリア性フィルム
JP2008264998A (ja) * 2007-04-16 2008-11-06 Dainippon Printing Co Ltd ガスバリア性積層フィルム、その製造方法、それを使用した包装用積層材、および包装袋
JP2009113355A (ja) * 2007-11-07 2009-05-28 Toppan Printing Co Ltd バリアフィルム
US8823154B2 (en) 2009-05-08 2014-09-02 The Regents Of The University Of California Encapsulation architectures for utilizing flexible barrier films
CN105579228A (zh) * 2014-01-17 2016-05-11 Lg化学株式会社 阻挡膜及制备该阻挡膜的方法
EP3939782A4 (en) * 2019-03-13 2022-12-07 Sumitomo Chemical Company Limited GAS BARRIER LAMINATE

Also Published As

Publication number Publication date
KR101392300B1 (ko) 2014-05-07
US20070269664A1 (en) 2007-11-22
US7811669B2 (en) 2010-10-12
KR20070051332A (ko) 2007-05-17
EP1787796B1 (en) 2013-02-13
EP1787796A1 (en) 2007-05-23
EP1787796A4 (en) 2010-06-02

Similar Documents

Publication Publication Date Title
WO2006019083A1 (ja) ガスバリア性積層フィルムおよびその製造方法
JP4852822B2 (ja) バリア性フィルムおよびそれを使用した積層材
JP5051494B2 (ja) ガスバリア性積層フィルムおよびその製造方法
JP4972951B2 (ja) ガスバリア性積層フィルムおよびその製造方法
JP2005088415A (ja) 積層フィルムおよびその製造法
JP5756641B2 (ja) ガスバリア性積層体からなる食品または医療用包装用容器
JP4923837B2 (ja) ボイル・レトルト容器用蓋材
JP2008143103A (ja) ガスバリア性積層フィルム
JP5259072B2 (ja) インクカ−トリッジ包装体
JP2008073986A (ja) ガスバリア性積層フィルム
JP2008143033A (ja) 包装用積層フィルムおよび包装用積層フィルムの製造方法
JP2008264998A (ja) ガスバリア性積層フィルム、その製造方法、それを使用した包装用積層材、および包装袋
JP6242562B2 (ja) 消臭性能を有する積層体およびそれを用いた包装体
JP4402412B2 (ja) 積層材およびそれを使用した包装用袋
JP4857522B2 (ja) バリア性フィルムおよびそれを使用した積層材
JP4357933B2 (ja) 液体小袋包装体
JP4998064B2 (ja) ガスバリア性積層フィルム、その製造方法、それを使用した包装用積層材、および包装袋
JP2009248452A (ja) インクカートリッジ包装用積層体
JP4946412B2 (ja) 液体紙容器
JP4304052B2 (ja) 液体小袋包装体
JP2005145491A (ja) ラミネ−トチュ−ブ容器
JP2010221596A (ja) ガスバリア性積層フィルムおよびガスバリア性包装材
JP2008105726A (ja) 乾燥食品用包材
JP5375258B2 (ja) ガスバリア性積層フィルム及びそれを使用した積層体
JP4815739B2 (ja) チュ−ブ容器用包材およびそれを使用したチュ−ブ容器

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2005780234

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020077006009

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 11573924

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 200580035390.5

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2005780234

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: JP