WO2005015628A1 - プラズマ処理装置及びアッシング方法 - Google Patents

プラズマ処理装置及びアッシング方法 Download PDF

Info

Publication number
WO2005015628A1
WO2005015628A1 PCT/JP2004/011657 JP2004011657W WO2005015628A1 WO 2005015628 A1 WO2005015628 A1 WO 2005015628A1 JP 2004011657 W JP2004011657 W JP 2004011657W WO 2005015628 A1 WO2005015628 A1 WO 2005015628A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
chamber
plasma processing
gas
processing apparatus
Prior art date
Application number
PCT/JP2004/011657
Other languages
English (en)
French (fr)
Inventor
Yoshinori Iino
Original Assignee
Shibaura Mechatronics Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shibaura Mechatronics Corporation filed Critical Shibaura Mechatronics Corporation
Priority to US10/567,665 priority Critical patent/US7491908B2/en
Priority to EP04771632A priority patent/EP1655770A4/en
Publication of WO2005015628A1 publication Critical patent/WO2005015628A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Definitions

  • the present invention relates to a plasma processing apparatus and an ashing method, and more particularly to a plasma processing apparatus and a ashing method capable of rapidly removing a resist while suppressing damage to an object to be treated.
  • Plasma processing such as plasma etching, dry etching, thin film deposition, or surface modification
  • plasma processing is applied to semiconductor manufacturing equipment and liquid crystal display manufacturing equipment, and is widely used in various industrial fields including the electronics industry.
  • the “ashing process” which uses a plasma to ash the resist, was used as a mask material for etching for processing fine patterns and for ion implantation (hereinafter, “i-bra”). It is often used as a dry process to remove and decompose resist.
  • FIG. 20 is a schematic view showing an example of the “down flow type” atsing device.
  • This device comprises: a chamber 110; a transmission window 118 consisting of a flat dielectric plate provided on the upper surface of the chamber 110; a microwave waveguide provided outside the transmission window 118; And a stage 116 for placing and holding an object W such as a semiconductor wafer in the processing space below the transmission window 118.
  • the treatment space is depressurized by the vacuum evacuation system E, and then the atmosphere of the treatment gas is formed.
  • the microwave M is introduced from the microwave waveguide 120.
  • the microwave M energizes the gas in the chamber 110 through the transmission window 118 to form a plasma p of the processing gas.
  • ions and electrons collide with molecules of the processing gas to generate excited active species (plasma products) such as excited atoms and molecules and free atoms (radicals).
  • plasma products diffuse in the processing space as shown by arrow A and fly to the surface of the object to be processed W, and plasma processing such as etching is performed.
  • FIG. 21 is a schematic view showing a main part of a "remote plasma type" plasma processing apparatus disclosed in Japanese Patent Application Laid-Open No. 2001-185005. That is, the plasma transmission tube 130 is connected above the chamber 110, and the processing gas G is supplied from the tip thereof. Further, a microwave waveguide tube 120 is connected to the plasma transmission tube 130 and a microwave M is supplied. The microwave M is given energy to form plasma P of the processing gas G, and active species A such as radicals contained in the plasma P are supplied to the object W of the chamber 110 via the transmission tube 130 By Plasma processing such as atsing is performed.
  • insulating films made of “low dielectric constant (low-k) materials” have been attracting attention as one of the elemental technologies for achieving higher integration of semiconductors.
  • This is a material used as an interlayer insulating film provided between a plurality of wiring layers and a gate insulating film of an insulating gate type device, and has an advantage that parasitic capacitance can be reduced because the dielectric constant is low.
  • these low dielectric constant materials include organic materials such as polyimide and porous silicon oxide.
  • the present invention has been made based on the recognition of such problems, and the purpose thereof is, based on an idea different from the conventional one, such as atsing without giving unnecessary damage even when plasma treatment is performed on a low dielectric constant material or the like. It is an object of the present invention to provide a plasma processing apparatus and an ashing method capable of plasma processing. Disclosure of the invention
  • a chamber capable of maintaining an atmosphere depressurized from the atmosphere, a transmission pipe connected to the chamber, and a gas introduced into the transmission pipe And a microwave source for introducing microwaves from the outside to the inside of the transmission tube.
  • a plasma processing apparatus capable of forming plasma of the gas in the transmission pipe and performing plasma processing of an object installed in the chamber, wherein the transmission pipe is disposed on a main surface of the object. It is connected to be open to the inner wall of the substantially vertical chamber, and the object to be treated is not provided on a straight line viewed from the plasma.
  • the remote plasma type plasma processing apparatus reliable plasma processing can be performed while preventing damage to the object to be processed due to light emitted from the plasma.
  • the transmission pipe is connected to the inner wall of the chamber with its axis inclined in a direction away from the object to be treated as compared with the case where the transmission pipe is connected substantially perpendicularly to the inner wall of the chamber. If so, the light emitted from the plasma can be further reliably kept away from the object to be treated.
  • a second plasma processing apparatus includes a chamber capable of maintaining an atmosphere decompressed from the atmosphere, a transmission pipe connected to the chamber via a substantially L-shaped connecting pipe, and the transmission.
  • a gas introduction mechanism for introducing a gas into a pipe; and a microwave source for introducing a microwave from the outside to the inside of the transmission pipe,
  • a plasma processing apparatus capable of forming plasma of the gas in the transmission pipe and performing plasma processing of an object installed in the chamber, wherein the connection pipe is formed on the main surface of the object. It is connected so as to open on the inner wall of the substantially opposing chamber, and the inner wall of the connection pipe is made of a fluorine-containing resin. According to the above configuration, in the remote plasma type plasma processing apparatus, reliable plasma processing can be performed while preventing damage to the object to be processed due to light emitted from the plasma.
  • a chamber capable of maintaining an atmosphere decompressed from the atmosphere, a transmission window occupying a part of a wall surface of the chamber, and the transmission window via the transmission window
  • a microwave source for introducing microwaves from the outside to the inside, and a gas introducing mechanism for introducing a gas into the chamber
  • a plasma processing apparatus capable of forming a plasma of the gas in the chamber, and performing a plasma processing of an object to be processed disposed in the chamber, which blocks light emitted from the plasma;
  • a light shield for transmitting the emitted active species is provided between the plasma and the object to be treated.
  • the uniformity of the plasma processing in the object to be processed can be improved by further comprising rectifying means for adjusting the distribution of the gas flow supplied from the transmission pipe on the object to be processed.
  • the ashing method of the present invention is an ashing method for removing the resist of an object to be treated in which a resist is formed on an insulating layer, wherein a plasma containing hydrogen and an inert gas is formed. Active species emitted from the plasma are caused to act on the object to be treated disposed in a chamber capable of maintaining a decompressed atmosphere, and light emitted from the plasma is substantially applied to the object to be treated. And removing the resist in a state where it is not irradiated.
  • the inert gas is helium, deterioration of the insulating layer can be prevented.
  • the insulating layer is made of a low dielectric constant material, reliable ashing becomes possible while preventing the film thickness of the insulating layer from being reduced and deteriorating.
  • FIG. 1 is a conceptual diagram for explaining a plasma processing apparatus and an attaching method according to an embodiment of the present invention
  • FIG. 2 is a process cross-sectional view for explaining an experiment conducted by the present inventor
  • FIG. 3 is a graph diagram summarizing experimental results of a series of samples
  • FIG. 4 is a graph showing the emission spectrum of H 2 (hydrogen).
  • FIG. 5 is a graph showing the emission spectrum of He (helium), and FIG. 6 is a graph showing the emission spectrum of N 2 .
  • FIG. 7 is a graph showing the emission spectrum of 0 2
  • FIG. 8 is a graph showing an emission spectrum of Ar (argon)
  • FIG. 9 is a schematic view showing a second specific example of the plasma processing apparatus of the present invention
  • FIG. FIG. 11 is a schematic view showing a third example of the plasma processing apparatus of the present invention
  • FIG. 11 is a schematic view showing a specific example in which an absorber is provided also on the inner wall of the transmission tube 30.
  • FIG. 2 is a schematic view showing a fifth example of the plasma processing apparatus of the present invention
  • FIG. 13 is a schematic view showing a specific example in which the inclination of the transmission tube 30 is increased
  • FIG. 15 is a schematic view showing a seventh example of the plasma processing apparatus of the present invention
  • FIG. 15 is a schematic cross-sectional view showing a specific example of the light shield 70,
  • FIG. 16 is a schematic cross-sectional view showing a concrete example in which a plate-like body is formed of a composite material
  • FIG. 17 is a schematic cross-sectional view showing a concrete example of a light shielding body 70.
  • FIG. 18 is a schematic view showing an eighth specific example of the plasma processing apparatus of the present invention
  • FIG. 19 is a schematic view showing a ninth specific example of the plasma processing apparatus of the present invention
  • FIG. 20 is a schematic view showing an example of the “down flow type” atsing apparatus
  • FIG. 21 is a schematic view showing a main part of a “remote plasma type” plasma processing apparatus.
  • FIG. 1 is a conceptual view for explaining a plasma processing apparatus and an ashing method according to an embodiment of the present invention.
  • the plasma processing apparatus of this example is also a "remote plasma type" apparatus, and the microwave M is applied to the chamber 10, the transmission pipe 30 provided on the side of the chamber 10, and the transmission pipe 30.
  • the microwave M is applied to the chamber 10, the transmission pipe 30 provided on the side of the chamber 10, and the transmission pipe 30.
  • the chamber 10 can maintain a reduced pressure atmosphere formed by the vacuum evacuation system E, and a stage 16 for mounting and holding a workpiece W such as a semiconductor wafer is provided near the center of the chamber 10. ing.
  • the object to be treated W is not provided on a straight line of sight as viewed from the plasma P. That is, the arrangement of the elements is determined so that the light L emitted from the plasma P is not irradiated to the object W to be processed. That is, when the transmission pipe 30 is connected to the side surface of the chamber 10, the height H from the object W to the transmission pipe 30 and the distance D from the inner wall of the chamber to the generation location of the plasma P are appropriately set. Thus, it is possible to prevent the irradiation of the object W with light emitted from the plasma P. As a result, it is possible to prevent the damage of the object W by the light of the plasma P and perform highly efficient plasma processing.
  • the “deactivation” of active species such as radicals generated by plasma P is small. That is, the active species contained in the plasma P are supplied to the surface of the object W along the gas flow G 1. At this time, since “bending” and the like are not formed in the transmission pipe 30, active species collide with the pipe wall etc. The possibility of inactivation due to recombination can be reduced. In other words, rapid plasma processing can be achieved with minimum deactivation of the active species.
  • FIG. 2 is a process cross-sectional view for explaining an experiment conducted by the present inventor. That is, the figure is a cross-sectional view showing a part of the manufacturing process of the semiconductor device having the wiring layer of copper (Cu). -
  • a lower wiring layer 210 made of copper and an interlayer insulating layer 220 are laminated on a semiconductor layer 200, and a resist 30 is formed on this. Form 0 in a predetermined pattern.
  • the interlayer insulating layer 220 in the opening is etched using the resist 300 as a mask to form a via 'hole.
  • the resist 300 is removed by ashing, and as shown in FIG. 6D, the upper wiring layer 230 is formed to form a multilayer wiring structure. Is obtained.
  • an interlayer insulating layer 2 made of a low dielectric constant material 2 It is possible to rapidly etch the resist 300 while preventing the etching and deterioration of the 20.
  • the inventor forms plasma P under the same conditions as ashing, places an insulating layer made of a low dielectric constant material in a chamber without coating resist 300, and etches it. I checked about deterioration.
  • a low dielectric constant material a porous S i-O-C 1 H-based compound having the following structural formula was used.
  • samples 1 to 3 use H 2 (hydrogen) as an atching gas
  • sample 4 uses N 2 (nitrogen) as an atching gas
  • sample 5 uses 0 2 (oxygen) as an atching gas.
  • Samples 1, 2, 4 and 5 used He (helium) as the inert carrier gas
  • Sample 3 used Ar (Argon).
  • the sample 2 is subjected to the plasma treatment by the ascending apparatus in which the light from the plasma P is directly irradiated to the object to be treated W.
  • the light from the plasma P illuminates the object W.
  • Plasma processing was performed using a plasma processing apparatus that was not irradiated.
  • the processing time of each sample was set to a time that could remove the 500 nm thick resist by ashing.
  • the etching amount of each sample which applied the plasma processing was measured. Furthermore, the surface of the interlayer insulating layer after plasma treatment was evaluated by spectroscopic ellipsometry to measure the thickness of the altered layer.
  • the third line is a graph that summarizes the experimental results of a series of samples. That is, the horizontal axis in the figure represents the sample number, and "0" represents the thickness of the interlayer insulating layer not subjected to plasma treatment. Further, the vertical axis in the figure represents the thickness A after plasma treatment, the thickness B of the deteriorated layer formed on the surface, and the etched thickness C for each sample.
  • the etching amount of the interlayer insulating layer reaches about 18% of the initial film thickness.
  • the plasma processing apparatus of the present invention (Sample 1), it can be seen that the etching amount is suppressed to several percent or less.
  • the plasma processing apparatus of the present invention when used, the decrease in the thickness of the interlayer insulating layer 220, that is, the increase in the parasitic capacitance can be suppressed minutely.
  • the reason why the interlayer insulating layer of the low dielectric constant material is etched in the conventional ashing device is that the light emitted from the plasma P promotes the decomposition of the low dielectric constant material. .
  • UV light having a wavelength of about 100 nm or less is emitted from H 2 and H 2 plasmas P.
  • Such ultraviolet light is a low dielectric constant material, ie, an organic material, It is speculated that it has an effect of breaking the bond between elements such as porous silicon oxide doped with carbon and the like. Therefore, it is thought that when such low-k materials are irradiated with such ultraviolet rays, the bonds between the constituent elements are destabilized, and the presence of hydrogen (H) radicals promotes the separation from the matrix.
  • the arrangement relation is realized such that the light from the plasma P is not irradiated to the object W to be treated.
  • etching of the insulating layer due to irradiation of light such as ultraviolet light can be suppressed, and a reduction in film thickness can be prevented.
  • the etching amount of low dielectric constant material is less than a few percent in both cases, but when argon (A r) is used as inert carrier gas (sample 3), the surface is There is a tendency for the thickness B of the altered layer to slightly increase. Further, even with the visual observation, no change was observed on the surface of sample 1, while the surface of sample 3 was observed to be brownish. Since the dielectric constant tends to increase due to the formation of such an altered layer, it is preferable to use helium as the inert carrier gas than argon.
  • the number and intensity of emission spectrum lines in the ultraviolet wavelength region are larger for N 2 and 0 2 than for H 2 as the ashing gas, and the emission intensity is high.
  • the light from plasma P is not completely blocked In such a case, the etching promoting effect of the low dielectric constant material by the ultraviolet light is likely to occur.
  • FIG. 8 is a graph showing the emission spectrum of Ar (argon). Compared to FIG. 5, it can be seen that A r emits more light in the ultraviolet wavelength range than H e (helium). Therefore, in this case as well, the light from the plasma P is not completely shielded, and in this case, there is a high possibility that the ultraviolet radiation can accelerate the etching of the low dielectric constant material. Therefore, if the light from the plasma P is not completely shielded, there is a high possibility that the ultraviolet radiation may accelerate the etching of the low dielectric constant material. ,
  • Atsushingugasu not to desired to use of H 2 than N 2 and 0 2. Also, it is preferable to use He as the inert carrier gas rather than using Ar.
  • the present invention can be used not only for the specific low dielectric constant material described above, but also for various other low dielectric constant materials to obtain the same function and effect.
  • the low dielectric constant material to which the present invention can be applied is used particularly as a gate insulating film or an interlayer insulating film in a semiconductor integrated circuit, and has a dielectric constant of 3.5 or less.
  • Typical examples are polyimides, benzocyclobutenes, norylenes, fluorocarbons, silicon oxides containing carbon, and porous bodies of these.
  • the resist that can be attacked has a sensitivity corresponding to an exposure light source such as g-line, i-line, wavelength of 15 7 nm, wavelength 'of 1 93 nm, etc. frequently used in semiconductor manufacturing processes, for example Examples include those containing nopolac, polyvinylphenol, atarilate, cyclic olefin and the like.
  • an exposure light source such as g-line, i-line, wavelength of 15 7 nm, wavelength 'of 1 93 nm, etc. frequently used in semiconductor manufacturing processes, for example Examples include those containing nopolac, polyvinylphenol, atarilate, cyclic olefin and the like.
  • Both the low dielectric constant material and the resist are not limited to the above specific examples, and all materials which can be appropriately selected and used by those skilled in the art can be applied.
  • FIG. 9 is a schematic view showing a second specific example of the plasma processing apparatus of the present invention.
  • symbol is attached
  • a rectifier 50 is provided around the stage 16.
  • the adjustment fluid 50 has the function of adjusting the flow of the processing gas G. That is, when the transmission pipe 30 is connected to the side surface of the chamber 10 in order to prevent the object W to be irradiated with light emitted from the plasma P, the flow of the gas flowing toward the evacuation means E is the object to be processed. It is asymmetric when viewed from W. For this reason, there is a possibility that the rates of plasma processing such as etching and etching have a distribution in a plane and become uneven in the object W to be processed.
  • the rectifying body 50 is provided around the stage 16 so that it is possible to correct the non-uniformity on the surface of the object to be processed W.
  • the rectifying body 50 is provided with the openings 5 0 a and 5 O b, and the opening 5 0 a on the side far from the transmission pipe 3 0 and the opening 5 0 b on the side close to the transmission pipe 3 0
  • the gas flow G 1 reaching the far side from the transmission tube 30 is increased more than the gas flow G 2 on the near side, and uniform plasma processing is performed. It is possible to
  • the distribution of the gas flow with respect to the object to be processed W is simultaneously controlled while preventing the light emission L from the plasma P being irradiated to the object to be processed W, and the plasma processing is uniform. It is possible to improve the sex.
  • the structure of the rectifying body 50 provided to improve the uniformity of plasma processing is not limited to that shown in FIG. 9.
  • Other structures can be used. Ru.
  • FIG. 10 is a schematic view showing a third example of the plasma processing apparatus of the present invention.
  • the same symbols are given to the same elements as what were mentioned above with reference to FIG. 1 through FIG. 9 about this figure, and detailed explanation will be omitted.
  • an absorber 60 for absorbing the light L from the plasma P is provided on the inner wall of the chamber 10.
  • an absorber 60 By providing such an absorber 60, the light L from the plasma P is absorbed by the inner wall of the chamber 10, so that the object W to be treated can be prevented from being irradiated. As a result, the influence of the light L from the plasma P can be more reliably suppressed.
  • the material and structure of the absorber 60 can be appropriately determined according to the wavelength of the light L from the plasma P.
  • the light L from the plasma P is ultraviolet light
  • various inorganic materials, metal materials, organic materials or composite materials thereof that absorb the light can be used.
  • Such an absorber 60 may also be provided on the inner wall of the transmission pipe 30 as illustrated in FIG. In this way, the reflection of the light L on the inner wall of the transmission tube 30 can be prevented, and the irradiation of the light L to the object to be treated W can be blocked more reliably.
  • FIG. 12 is a schematic view showing a fifth example of the plasma processing apparatus of the present invention.
  • the same symbols are given to the same elements as what were mentioned above with reference to FIG. 1 through FIG. 11 also about the same figure, and detailed explanation will be omitted.
  • the transmission pipe 30 is connected obliquely to the side of the chamber 10. That is, the transmission pipe 30 is connected with its central axis inclined in a direction away from the object W to be processed. In this way, by moving the light L from the plasma P away from the object W, the object W can be more reliably prevented from being irradiated.
  • the effect of shielding the light L of the plasma P becomes higher as the inclination of the transmission tube 30 is larger, as illustrated in FIG. That is, as shown in FIG. 13, the light L from the plasma P can be further moved away from the object W by connecting the transmission tube 3 0 to the chamber 10 by tilting the transmission tube 3 0 further. Also in such a case, active species such as radicals are supplied to the surface of the object to be treated W without being inactivated along the gas flow G 1 because “bends” etc. are not formed in the transmission pipe 30. Be done.
  • FIG. 14 is a schematic view showing a seventh example of the plasma processing apparatus of the present invention.
  • the same symbols are given to the same elements as what were mentioned above with reference to FIGS. 1 to 13 also about the same figure, and detailed explanation will be omitted.
  • a light shield 70 is provided near the open end of the transmission tube 30.
  • the light shield 70 has a function of blocking the light L emitted from the plasma P and transmitting active species such as radicals. By providing such a light shield 70, it is possible to prevent damage to the object W to be treated due to the irradiation of the light L.
  • FIG. 15 is a schematic cross-sectional view showing a specific example of the light shield 70.
  • the light shield 70 A of this example a plurality of plate-like bodies are arranged in a louver like a blind.
  • the light L from the plasma P is blocked by these plates and does not reach the object W to be treated.
  • the active species released from the 'plasma P flow along the gas flow G 1 through the gaps of the plate-like material and are supplied to the surface of the object W to be treated.
  • the plate-like body may be formed of a material which is unlikely to cause recombination of the active species.
  • a radical recombination rate in the case of a metal such as stainless steel, it is approximately 0.1 to 0.2.
  • the degree In the case of alumina or quartz, the degree is about 0.000 to 0.10.
  • Teflon registered trademark
  • Tefgon registered trademark
  • the plate-like body constituting the light shield 70 or the surface thereof is formed of the absorber 60 described above with reference to FIGS. 10 and 11. Good to do.
  • FIG. 16 is a schematic cross-sectional view showing a specific example in which a plate-like body is formed of a composite material. That is, one surface of the plate-like body constituting the light shield 7 0 B of this example is formed by the first layer 7 OB a, and the other surface is formed by the second layer 7 0 B b. ing.
  • the first layer 70 B a is disposed on the incident side of the gas flow G 1 and is made of a material that suppresses radical deactivation.
  • the second layer 70 B b is disposed on the back side thereof and is made of a material that absorbs light L.
  • the light L reflected by the plate-like member can be reliably absorbed by the second layer 70 B b and blocked from the object W as exemplified by the arrow L 1.
  • an absorption layer of the light L may be provided on the incident side of the gas flow G 1, and a layer for preventing the deactivation of the active species may be provided on the absorption layer.
  • FIG. 17 is a schematic cross-sectional view showing a specific example of the light shielding body 70.
  • the light shield 70 C of this example is a baffle-like structure having a plurality of baffles provided with openings.
  • the openings of the respective baffles are formed so as not to overlap each other. Even in such a light shield 70 C, light L from the plasma P is blocked by these baffles and does not reach the object W to be processed.
  • the active species released from the plasma P flow through the opening along the gas flow G 1 and are supplied to the surface of the object W to be treated.
  • one side of the baffle is formed of a layer that suppresses the deactivation of the radical, and the other side is formed of a layer that absorbs light L. You may make it. In this way, the light L can be absorbed more reliably, and at the same time the deactivation of the active species can be prevented.
  • FIG. 18 is a schematic view showing an eighth example of the plasma processing apparatus of the present invention.
  • the same symbols are given to the same elements as what were mentioned above with reference to FIG. 1 through FIG. 17 also about the same figure, and detailed explanation will be omitted.
  • the transmission pipe 30 is connected to the upper surface of the chamber 10 through a connecting pipe 30 L ⁇ bent in a substantially L shape.
  • the active species released from the plasma P are supplied directly above the object to be processed W via the transmission pipe 30 and the connecting pipe 30 L.
  • the connecting tube 30 L bent substantially at right angles it is possible to shield the object to be processed W by shielding the light L emitted from the plasma P.
  • the connecting tube 30 L is formed of a material that is unlikely to cause recombination of active species. Specifically, it is formed of a fluorine-containing resin such as Teflon (registered trademark). In this way, it is possible to block the light L and at the same time prevent the deactivation of the active species.
  • a rectifying body 50 as described above with reference to FIG. 9 may be provided, and as described above with reference to FIGS. 10 and 11, an absorber 60 for light L may be provided. Also, as described above with reference to FIGS. 14 to 17, a light shield 70 may be provided.
  • FIG. 19 is a schematic view showing a ninth example of the plasma processing apparatus of the present invention.
  • the same symbols are given to the same elements as what were mentioned above with reference to FIGS. 1 to 18 also about the same figure, and detailed explanation will be omitted.
  • This specific example is a "down flow type" plasma processing apparatus.
  • This device comprises a chamber 10, a transmission window 18 comprising a flat dielectric plate provided on the upper surface of the chamber 10, and a microphone waveguide provided outside the transmission window 18. 2 0, Transparent window 1
  • the processing object W such as semiconductor wafer is placed and held in the processing space below 8 To have stage 16 and
  • the chamber 10 can maintain a reduced pressure atmosphere formed by the vacuum evacuation system E, and is appropriately provided with a gas introduction pipe (not shown) for introducing a processing gas into the processing space.
  • the object W is placed on the stage 16 with the surface facing upward. Ru.
  • an etching gas as a processing gas is introduced into the processing space.
  • the microwave M is introduced from the microwave waveguide 20 to the slot antenna 20 S with the atmosphere of the processing gas being formed in the processing space.
  • the microwave M is emitted from the slot antenna 2 0 S toward the transmission window 18.
  • the transmission window 18 is made of a dielectric such as quartz or alumina, and the microwave M propagates on the surface of the transmission window 18 and is emitted to the processing space in the chamber 10.
  • the energy of the microwave M radiated to the processing space in this manner forms a plasma of the processing gas.
  • the microwaves from the lower surface of the transmission window 18 The beam is reflected until a certain distance (skin debs) d enters the processing space of the chamber, and a microwave standing wave is formed between the microwave reflection surface and the lower surface of the slot antenna 20 S. Ru.
  • the reflecting surface of the microwave becomes a plasma excitation surface, and stable plasma P is excited on this plasma excitation surface.
  • stable plasma P excited on this plasma excitation surface ions and electrons collide with the molecules of the processing gas, whereby excited active species (such as excited atoms, molecules, free atoms (radicals), etc. The product is produced.
  • excited active species such as excited atoms, molecules, free atoms (radicals), etc.
  • the product is produced.
  • These plasma products diffuse in the processing space as shown by arrow A and fly to the surface of the object to be processed W, and plasma processing such as etching is performed.
  • a light shield 70 is provided between the plasma P and the object W.
  • the light shield 70 is formed, for example, in the shape of a looper or a puffle, as described above with reference to FIGS.
  • a rectifying body 50 as described above with reference to FIG. 9 may be provided, and as described above with reference to FIGS. 10 and 11, an absorber 60 for light L may be provided.
  • an absorber 60 for light L may be provided.
  • ADVANTAGE OF THE INVENTION it becomes possible to implement a rapid and reliable plasma processing, preventing damage to the to-be-processed object by the light discharge
  • it becomes possible to stably manufacture for example, a multilayer wiring structure or an insulated gate type device using a low dielectric constant material, and the industrial merit is great.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

大気よりも減圧された雰囲気を維持可能なチャンバと、前記チャンバに接続された伝送管と、前記伝送管にガスを導入するガス導入機構と、前記伝送管の外側から内側にマイクロ波を導入するマイクロ波供給源と、を備え、前記伝送管内において前記ガスのプラズマを形成し、前記チャンバ内に設置された被処理体のプラズマ処理を実施可能なプラズマ処理装置であって、前記伝送管は、前記被処理体の主面に対して略垂直な前記チャンバの内壁に開口するように接続され、前記被処理体は、前記プラズマから眺めた直視線上に設けられていないことを特徴とするプラズマ処理装置を提供する。

Description

プラズマ処理装置及びアツシング方法 技術分野
本発明は、 プラズマ処理装置及びアツシング (ashing :灰化) 方法に関し、 特に、 被処理体に える損傷を抑制しつつ迅速なレジストの除去が可能なプラズ マ処理装置及ぴァッシング方法に関明する。 背景技術 書
プラズマを利用したアツシング、 ドライエッチング、 薄膜堆積あるいは表面改 質などのプラズマ処理は、 半導体製造装置や液晶ディスプレイ製造装置などに応 用され、 電子産業をはじめとした各種の産業分野において広く利用されている。 特に、 プラズマを利用してレジストを灰化させる 「アツシング処理」 は、 微細 パターンを加工するエッチング時や、 イオン注入 (ion implantation:以下 「ィ ンブラ」 とレ、う) 時のマスク材として用いたレジストを除去分解するドライプロ セスとして多用されている。
このようなアツシングに用いることができるプラズマ処理装置としては、 いわ ゆる 「ダウンフロー型」 と 「リモートプラズマ型」 とが知られている。
「ダウンフロー型」のプラズマ処理装置の場合、プラズマを発生させる生成室と、 被処理体が載置される反応室とが同一の真空チャンパ内に設けられている (例え ば、 特開平 5— 3 1 5292号公報参照)。 これに対して、 「リモートプラズマ型」 の場合は、 プラズマを発生させる生成室と被処理体が载置される反応チャンバと が伝送管により接続され、 プラズマと被処理体とが隔離された構造を有する (例 えば、 特開 200 1— 1 8 9305号公報、 特表 2002— 54 1 6 72号公報 参照)。 第 2 0図は、「ダウンフロ一型」のアツシング装置の一例を表した模式図である。 この装置は、 チャンバ 1 1 0と、 このチャンバ 1 1 0の上面に設けられた平板状 の誘電体板からなる透過窓 1 1 8と、 透過窓 1 1 8の外側に設けられたマイクロ 波導波管 1 2 0と、 透過窓 1 1 8の下方の処理空間において半導体ゥエーハなど の被処理物 Wを載置して保持するためのステージ 1 1 6と、 を有する。
このアツシング装置を用レ、て被処理物 Wの表面にアツシング処理を施す際には、 まず、 真空排気系 Eによって処理空間が減圧状態にされた後、 処理ガスの雰囲気- が形成された状態で、 マイクロ波導波管 1 2 0からマイクロ波 Mが導入される。 マイクロ波 Mは、 透過窓 1 1 8を介してチャンバ 1 1 0内のガスにエネルギーを 与え、 処理ガスのプラズマ pが形成される。 プラズマ p中においては、 イオンや 電子が処理ガスの分子と衝突することにより、 励起された原子や分子、 遊離原子 (ラジカル) などの励起活性種 (プラズマ生成物) が生成される。 これらプラズ マ生成物は、 矢印 Aで表したように処理空間内を拡散して被処理物 Wの表面に飛 来し、 エッチングなどのプラズマ処理が行われる。
そして、 特開平 5— 3 1 5 2 9 2号公報においては、 このようなダウンフロー 型のアツシング装置において、 プラズマが生成される生成室と、 被処理体 Wが載 置される反応室との間に、 複数の孔が形成されたシャワーへッド 4 0 0を設ける ことが記載されている。 このシャワーヘッド 4 0 0の目的は、 プラズマの活性粒 子種を通過させ、 イオンの通過を阻止することにある。
第 2 1図は、 特開 2 0 0 1— 1 8 9 3 0 5号公報に開示されている 「リモート プラズマ型」 のプラズマ処理装置の要部を表す模式図である。 すなわち、 チャン バ 1 1 0の上方にプラズマ伝送管 1 3 0が接続され、 その先端から処理ガス Gが 供給される。 また、 プラズマ伝送管 1 3 0にはマイクロ波の導波管 1 2 0が接続 され、 マイクロ波 Mが供給される。 マイクロ波 Mによりエネルギーを与えられて 処理ガス Gのプラズマ Pが形成され、 プラズマ Pに含まれるラジカルなど活性種 Aが伝送管 1 3 0を介してチャンパ 1 1 0の被処理体 Wに供給されることにより、 アツシングなどのプラズマ処理が行われる。
また、 特表 2 0 0 2 - 5 4 1 6 7 2号公報には、 プラズマ Pのグロ一放電から 下流のチャンパ 1 1 0までが直接的に視野に入ることを防ぐために伝送管 1 3 0 に直角の 「曲げ」 を加えたプラズマ発生装置が開示されている。
ところで、 近年、 半導体のさらなる高集積化を実現するための要素技術のひと つとして、 「低誘電率 (low-k) 材料」 による絶縁膜が注目されている。 これは、 複数の配線層の間に設けられる層間絶縁膜や、 絶縁ゲード型デバイスのゲート絶 縁膜として用いられる材料であり、 誘電率が低いために、 寄生容量を低減できる という利点を有する。 これら低誘電率材料としては、 例えば、 ポリイミ ドなどの 有機材料や、 多孔質の酸化シリコンなどを挙げることができる。
ところが、 本発明者の独自の実験の結果、 これら従来の装置を用いてプラズマ 処理した場合に、 被処理体に損傷が生じ、 あるいはプラズマ処理の効率に改善の 余地があることが判明した。 すなわち、 これら低誘電率材料の上にレジス トマス クを形成して、 低誘電率材料をパターニングし、 しかる後にレジス トマスクをァ ッシングすると、 低誘電率材料もエッチングされ、 誘電率や寄生容量が増加する という問題があることが判明した。
本発明はかかる課題の認識に基づいてなされたものであり、 その目的は、 従来 とは異なる発想に基づき、 低誘電率材料などをプラズマ処理した場合にも無用な 損傷を与えることなくアツシングなどのプラズマ処理が可能なプラズマ処理装置 及びアツシング方法を提供することにある。 発明の開示
上記目的を達成するため、 本発明の第 1のプラズマ処理装置は、 大気よりも減 圧された雰囲気を維持可能なチャンパと、 前記チヤンバに接続された伝送管と、 前記伝送管にガスを導入するガス導入機構と、 前記伝送管の外側から内側にマイ クロ波を導入するマイクロ波供給源と、 を備え、 前記伝送管内において前記ガスのプラズマを形成し、 前記チャンバ内に設置さ れた被処理体のプラズマ処理を実施可能なプラズマ処理装置であって、 前記伝送 管は、 前記被処理体の主面に対して略垂直な前記チャンバの内壁に開口するよう に接続され、 前記被処理体は、 前記プラズマから眺めた直視線上に設けられてい ないことを特徴とする。
上記構成によれば、 リモートプラズマ型のプラズマ処理装置において、 プラズ マから放出される光による被処理体の損傷を防ぎつつ、 確実なプラズマ処理を実 施できる。
ここで、 前記伝送管は、 前記チャンパの前記内壁に対して略垂直に接続された 場合と比較してその軸線が前記被処理体から遠ざかる方向に傾斜して前記チヤン バの内壁に接続されてなるものとすれば、 プラズマから放出される光をさらに確 実に被処理体から遠ざけることができる。
また、 本発明の第 2のプラズマ処理装置は、 大気よりも減圧された雰囲気を維 持可能なチャンバと、 略 L字状の接続管を介して前記チャンバに接続された伝送 管と、 前記伝送管にガスを導入するガス導入機構と、 前記伝送管の外側から内側 にマイクロ波を導入するマイクロ波供給源と、 を備え、
前記伝送管内において前記ガスのプラズマを形成し、 前記チャンバ内に設置さ れた被処理体のプラズマ処理を実施可能なプラズマ処理装置であって、 前記接続 管は、 前記被処理体の主面に略対向する前記チャンバの内壁に開口するように接 続され、 前記接続管の内壁は、 フッ素含有樹脂によりなることを特徴とする。 上記構成によれば、 リモートプラズマ型のプラズマ処理装置において、 プラズ マから放出される光による被処理体の損傷を防ぎつつ、 確実なプラズマ処理を実 施できる。
ここで、 前記プラズマから放出される光を遮断し、 前記プラズマから放出され る活性種を透過させる遮光体を、 前記プラズマと前記被処理体との間に備えたも のとすれば、 プラズマから放出される光をさらに確実に被処理体から遮断するこ JP2004/011657
5
とができる。
また、 本発明の第 3のプラズマ処理装置は、 大気よりも減圧された雰囲気を維 持可能なチャンバと、 前記チャンパの壁面の一部を占める透過窓と、 前記透過窓 を介して前記チャンバの外側から内側にマイクロ波を導入するマイクロ波供給源 と、 前記チャンパ内にガスを導入するガス導入機構と、 を備え、
前記チャンバ内において前記ガスのプラズマを形成し、 前記チャンパ内に設置 された被処理体のブラズマ処理 実施可能なブラズマ処理装置であつて、 前記プ ラズマから放出される光を遮断し、 前記プラズマから放出される活性種を透過さ せる遮光体を、 前記プラズマと前記被処理体との間に備えたことを特徴とする。 上記構成によれば、 ダウンフロー型のプラズマ処理装置において、 プラズマか ら放出される光による被処理体の損傷を防ぎつつ、 確実なプラズマ処理を実施で きる。
ここで、 前記伝送管から供給されるガス流の前記被処理体の上における分布を 調整する整流手段をさらに備えたものとすれば、 被処理体におけるプラズマ処理 の均一性を改善することができる。
また、 前記チャンバの内壁及び前記伝送管の内壁の少なくともいずれかに、 前 記プラズマから放出される光を吸収する吸収体が設けられたものとすれば、 ブラ ズマから放出される光をさらに確実に被処理体から遮断することができる。 一方、 本発明のアツシング方法は、 絶縁層の上にレジストが形成された被処理 体の前記レジストを除去するアツシング方法であって、 水素と不活性ガスとを含 むプラズマを形成し、 大気よりも減圧された雰囲気を維持可能なチャンバ内に設 置された前記被処理体に前記プラズマから放出される活性種を作用させ、 且つ前 記プラズマから放出される光が前記被処理体に実質的に照射されない状態におい て前記レジストを除去することを特徴とする。
上記構成によれば、 プラズマから放出される光による被処理体の損傷を防ぎつ つ、 確実なアツシングを実施できる。 ここで、 前記不活性ガスは、 ヘリウムであるものとすれば、 絶縁層の変質を防 ぐことができる。
また、 前記絶縁層は、 低誘電率材料からなるものとすれば、 絶縁層の膜厚の低 下や変質を防ぎつつ、 確実なアツシングが可能となる。 図面の簡単な説明
第 1図は、 本発明の実施の形態にがかるプラズマ処理装置及びアツシング方法 を説明するための概念図であり、
第 2図は、 本発明者が実施した実験を説明するための工程断面図であり、 第 3図は、 一連のサンプルの実験結果をまとめたグラフ図であり、
第 4図は、 H 2 (水素) の発光スペク トルを表すグラフ図であり、
第 5図は、 H e (ヘリウム) の発光スペク トルを表すグラフ図であり、 第 6図は、 N 2の発光スペク トルを表すグラフ図であり、
第 7図は、 0 2の発光スペク トルを表すグラフ図であり、
第 8図は、 A r (アルゴン) の発光スペク トルを表すグラフ図であり、 第 9図は、 本発明のプラズマ処理装置の第 2の具体例を表す模式図であり、 第 1 0図は、 本発明のプラズマ処理装置の第 3の具体例を表す模式図であり、 第 1 1図は、伝送管 3 0の内壁にも吸収体を設けた具体例を表す模式図であり、 第 1 2図は、 本発明のプラズマ処理装置の第 5の具体例を表す模式図であり、 第 1 3図は、 伝送管 3 0の傾斜を大きくした具体例を表す模式図であり、 第 1 4図は、 本発明のプラズマ処理装置の第 7の具体例を表す模式図であり、 第 1 5図は、 遮光体 7 0の具体例を表す模式断面図であり、
第 1 6図は、 板状体を複合材により形成した具体例を表す模式断面図であり、 第 1 7図は、 遮光体 7 0の具体例を表す模式断面図であり、
第 1 8図は、 本発明のプラズマ処理装置の第 8の具体例を表す模式図であり、 第 1 9図は、 本発明のプラズマ処理装置の第 9の具体例を表す模式図であり、 第 2 0図は、「ダウンフロー型」のアツシング装置の一例を表した模式図であり、 第 2 1図は、 「リモートプラズマ型」 のプラズマ処理装置の要部を表す模式図で あ 。 発明を実施するための最良の態様
以下、 本発明の実施の形態について、 具体例を参照しつつ詳細に説明する。 -第 1図 、 本発明の実施の形態にかかるプラズマ処理装置及びアツシング方法 を説明するための概念図である。
すなわち、 本具体例のプラズマ処理装置も 「リモートプラズマ型」 の装置であ り、 チャンバ 1 0と、 このチャンバ 1 0の側面設けられた伝送管 3 0と、 伝送管 3 0にマイクロ波 Mを供給する導波管 2 0と、 を有する。
チャンバ 1 0は、真空排気系 Eにより形成される減圧雰囲気を維持可能であり、 その中央付近には、 半導体ゥヱーハなどの被処理物 Wを載置して保持するための ステージ 1 6が設けられている。
そして、 本具体例においては、 被処理体 Wは、 プラズマ Pから眺めた直視線上 に設けられていない。 つまり、 プラズマ Pから放出された光 Lが被処理体 Wに照 射されないように、 各要素の配置関係が決定されている。 すなわち、 伝送管 3 0 をチャンバ 1 0の側面に接続した場合、 被処理体 Wから伝送管 3 0までの高さ H と、 チャンパ内壁からプラズマ Pの生成箇所までの距離 Dとを適宜設定すること によって、 プラズマ Pから放出された光の被処理体 Wへの照射を防ぐことができ る。 その結果として、 プラズマ Pの光による被処理体 Wの損傷を防いで高効率の プラズマ処理を実施できる。
またさらに、 本具体例によれば、 プラズマ Pにより生成されたラジカルなどの 活性種の 「失活」 が少ないという点も有利である。 すなわち、 プラズマ Pに含ま れる活性種は、 ガス流 G 1に沿って被処理体 Wの表面に供給される。 この際、 伝 送管 3 0に 「曲げ」 などが形成されていないため、 活性種が管壁などに衝突して 再結合により失活する可能性を低くすることができる。 つまり、 活性種の失活を 最小限に抑えて、 迅速なプラズマ処理が可能となる。
以下、 本実施形態のプラズマ処理装置によるアツシングの実験結果について説 明する。
第 2図は、 本発明者が実施した実験を説明するための工程断面図である。 すな わち、 同図は、 銅 (C u ) の配線層を有する半導体装置の製造工程の一部を表し た工程断面図である。 ―
まず、 同図 (a ) に表したように、 半導体層 2 0 0の上に、 銅からなる下部配 線層 2 1 0と層間絶縁層 2 2 0を積層し、 この上に、 レジスト 3 0 0を所定のパ ターンに形成する。
次に、 同図 (b ) に表したように、 レジスト 3 0 0をマスクとして、 開口部の 層間絶縁層 2 2 0をエッチングし、 ビア 'ホール (via hole) を形成する。
次に、同図(c ) に表したように、アツシングによりレジスト 3 0 0を除去し、 同図 (d ) に表したように、 上部配線層 2 3 0を形成することにより、 多層配線 構造が得られる。
さてここで、 下部配線層 2 1 0と上部配線層 2 3 0との間の寄生容量を低減す るためには、 層間絶縁層 2 2 0の誘電率を下げることが重要である。 このために は、 「低誘電率材料」 を用いる必要がある。 ところが、 この場合、 従来のアツシン グ装置を用いると、 第 2 ( c ) 図に表したレジスト 3 0 0のアツシング工程にお いて、 下地の層間絶縁層 2 2 0のエッチングや変質が生ずる場合があった。 これに対して、 本実施形態においては、 第 1図に例示した如く、 プラズマ Pか らの光の照射を防ぎ、 且つ独特なエッチングガスを用いることにより、 低誘電率 材料からなる層間絶縁層 2 2 0のエッチングや変質を防ぎつつ、 レジスト 3 0 0 の迅速なアツシングが可能となる。
本発明者は、 アツシングと同様の条件でプラズマ Pを形成し、 レジスト 3 0 0 を被覆せずに、 低誘電率材料からなる絶縁層をチャンバに配置してそのエツチン グゃ変質について調べた。 低誘電率材料としては、 下記の構造式を有する 多孔 質の S i— O— C一 H系の化合物を用いた。
O H
I I
O - S i - C -H
に I.
O H
また、 比較例として、 第 2 1図に表したように、 プラズマ Pからの光が被処理 体 Wに直接的に照射されるリモートプラズマ型のプラズマ処理装置を用いた実験 も実施した。 アツシングの条件は、 以下の通りである。
Figure imgf000011_0001
すなわち、 サンプル 1乃至 3は、 アツシングガスとして H 2 (水素) を用い、 サンプル 4は、 アツシングガスとして N 2 (窒素) を用い、 サンプル 5は、 アツ シングガスとして 0 2 (酸素) を用いた。 また、 サンプル 1 、 2 、 4、 5は、 不 活性キャリアガスとして H e (ヘリウム) を用い、 サンプル 3は、 A r (ァルゴ ン) を用いた。
そして、 サンプル 2は、 第 2 1図に表したようにプラズマ Pからの光が被処理 体 Wに直接的に照射されるアツシング装置によりプラズマ処理を実施し、 それ以 外のサンプルは、 第 1図に表したように、 プラズマ Pからの光が被処理体 Wに照 射されないプラズマ処理装置によりプラズマ処理を実施した。 また、 各サンプル の処理時間は、 いずれも厚みが 5 0 0ナノメータのレジストをアツシングにより 除去できる時間とした。
このようにしてプラズマ処理を施した各サンプルのエッチング量を測定した。 またさらに、 プラズマ処理後の層間絶縁層の表面を分光エリプソメ トリにより評 価し、 変質層の厚みを測定した。
第 3囪は、 一連のサンプルの実験結果をまとめたグラフ図である。 すなわち、 同図の横軸は、 サンプル番号を表し、 「0」 はプラズマ処理を施さない層間絶縁層 の厚みを表す。 また、 同図の縦軸は、 各サンプルについて、 プラズマ処理後の厚 み Aと、 その表面に形成された変質層の厚み Bと、 エッチングされた厚み Cとを 表す。
サンプル 1とサンプル 2とを比較すると、 比較例のプラズマ処理装置を用いた 場合 (サンプル 2 ) には、 層間絶縁層のエッチング量は初期の膜厚の約 1 8パー セント近くに及んでいるが、 本発明のプラズマ処理装置を用いた場合 (サンプル 1 ) には、 エッチング量は数パーセント以下に抑えられていることが分かる。 第 2図に表した断面構造からも分かるように、 層間絶縁層 2 2 0が薄くなると、 上 下電極間の寄生容量が増大するという問題が生ずる。 これに対して、 本発明のプ ラズマ処理装置を用いた場合には、 層間絶縁層 2 2 0の厚みの低下、 すなわち寄 生容量の増大を微小に抑えることができる。
このように、 従来のアツシング装置において低誘電率材料の層間絶縁層がエツ チングされる理由は、 プラズマ Pから放出される光により低誘電率材料の分解が 促進されるからであると推測される。
第 4図及び第 5図は、 それぞれ H 2 (水素) と H e (ヘリウム) の発光スぺク トルを表すグラフ図である。 これらの図から分かるように、 H 2や H eのプラズ マ Pからは、 波長が 1 0 0ナノメータ前後あるいはそれ以下の紫外線 (U V光) が放出されている。 このような紫外線は、 低誘電率材料すなわち有機材料や、 炭 素などがドープされた多孔質の酸化シリコンなどの元素間結合を分断する作用を 有することが推測される。 従って、 このような紫外線が低誘電率材料に照射され ると、 構成元素間の結合が不安定化され、 水素 (H) ラジカルの存在によってマ トリタスからの乖離が促進されるものと考えられる。
これに対して、 本発明によれば、 第 1図に例示した如く、 プラズマ Pからの光 が被処理体 Wに照射されないような配置関係が実現されている。その結果として、 紫外線などの光の照射による絶縁層のエッチングが抑制され、 膜厚の低下を防ぐ ことができる。
次に、 再び第 3図に戻って、 ガス種の影響について説明する。 サンプル 1とサ ンプル 3とを比較すると、 いずれも低誘電率材料のエッチング量は数パーセント 以下であるが、 不活性キヤリァガスとしてアルゴン (A r ) を用いた場合 (サン プル 3 ) には、 表面の変質層の厚み Bがやや増加する傾向が認められる。 また、 目視による観察でも、 サンプル 1の表面に変化は認められなかったのに対して、 サンプル 3の表面は、 褐色に変色していることが認められた。 このような変質層 の形成によって誘電率は増加する傾向があるため、不活性キヤリァガスとしては、 アルゴンよりもヘリゥムが望ましいといえる。
一方、 サンプル 1と、 サンプル 4およびサンプル 5とを比較すると、 アツシン グガスとして N 2 (窒素) を用いた場合 (サンプル 4 ) には、 低誘電率材料のェ ツチング量はおよそ 5パーセントであり、 0 2 (酸素) を用いた場合 (サンプル 5 ) には、 エッチング量はおよそ 2 0パーセントにも達することが分かる。 この ような膜厚の低下は、 寄生容量の増大を引き起こす。 つまり、 アツシングガスと しては N 2 (窒素) や O 2 (酸素) よりも H 2 (水素) を用いることが望ましい。 第 6図及ぴ第 7図は、 それぞれ N 2と O 2の発光スぺク トルを表すグラフ図であ る。 これらのグラフを図 4と比較すれば分かるように、 アツシングガスである H 2よりも N 2や 0 2のほうが紫外線の波長領域の発光スぺク トル線の数と強度が大 きく、 発光強度が高い。 従って、 プラズマ Pからの光が完全に遮蔽されていない 場合には、 紫外線による低誘電率材料のエツチング促進効果が生ずるおそれが高 くなる。
第 8図は、 A r (アルゴン) の発光スペク トルを表すグラフ図である。 第 5図 と比較すると、 H e (ヘリウム) よりも A rのほうが紫外線の波長領域における 発光が多いことが分かる。 従って、 この場合も、 プラズマ Pからの光が完全に遮 蔽されていなレ、場合には、 紫外線による低誘電率材料のェッチング促進効果が生 ずるおそれが高ぐなる。 従って、 プラズマ Pからの光が完全に遮蔽されていない 場合には、 紫外線による低誘電率材料のエツチング促進効果が生ずるおそれが高 くなる。 ,
以上、本実施形態のプラズマ処理装置及ぴアツシング方法についてまとめると、 まず、 被処理体 Wに対してプラズマ Pからの光が照射されないような配置関係の プラズマ処理装置を用いることが望ましい。 このための具体的な構成は、 第 1図 に例示したものの他、 後に種々の具体例をあげてさらに詳細に説明する。
一方、 アツシングガスについては、 N 2や 0 2よりも H 2を用いることが望まし い。 また、 不活性キャリアガスとしては、 A rを用いるよりも H eを用いること が望ましい。
なお、 本発明は、 上述した特定の低誘電率材料のみならず、 その他各種の低誘 電率材料に用いて同様の作用効果を得ることができる。 本発明を適用可能な低誘 電率材料は、 特に半導体集積回路においてゲート絶縁膜または層間絶縁膜として 用いられるものであり、 その誘電率が 3 . 5以下のものである。 その代表例を挙 げると、 ポリイミ ド、 ベンゾシクロブテン、 ノ リ レン、 炭化フッ素、 炭素を含有 した酸化シリコン、 及ぴこれらの多孔質体などである。
また、 アツシングできるレジス トは、 例えば半導体製造工程において多用され る g線、 i線、 波長 1 5 7ナノメータ、'波長 1 9 3ナノメータなどの露光光源に 対応する感度を有するものであり、例えば、ノポラック、ポリビニルフエノール、 アタリレート、 環状ォレフィンなどを含むものなどを挙げることができる。 低誘電率材料についても、 レジストについても、 上記した具体例には限定され ず、 当業者が適宜選択して用いることができる全てのものを適用することが可能 である。
以下、 本発明のプラズマ処理装置の変形例について説明する。
第 9図は、 本発明のプラズマ処理装置の第 2の具体例を表す模式図である。 同 図については、 第 1図乃至第 8図に関して前述したものと同様の要素には同一の 夺号を付して詳細な説明は省略する。
本具体例においては、 ステージ 1 6の周囲に整流体 5 0が設けられている。 整 流体 5 0は、 処理ガス Gの流れを調整する作用を有する。 すなわち、 プラズマ P からの発光が被処理体 Wに照射されることを防ぐために伝送管 3 0をチャンパ 1 0の側面に接続した場合、 真空排気手段 Eに向けて流れるガスの流れが被処理体 Wから見たときに非対称となる。 このために、 被処理体 Wにおいてアツシングゃ エッチングなどのプラズマ処理の速度が平面内で分布を有し、 不均一となるおそ れがある。 これに対して、 本具体例においては、 ステージ 1 6の周囲に整流体 5 0を設け、 被処理体 Wの表面における不均一を補正することが可能となる。 具体 的には、 例えば、 整流体 5 0に開口 5 0 a、 5 O bを設け、 伝送管 3 0から遠い 側の開口 5 0 aを、 伝送管 3 0に近い側の開口 5 0 bよりも大きくなるようにす ると、 被処理体 Wの表面において、 伝送管 3 0から遠い側に到達するガス流 G 1 を近い側のガス流 G 2よりも増加させ、 均一なプラズマ処理を実施することが可 能となる。
つまり、 本具体例によれば、 プラズマ Pからの発光 Lが被処理体 Wに照射され ることを防ぎつつ、 同時に被処理体 Wに対するガス流の分布を積極的に調節して プラズマ処理の均一性を高めることが可能となる。
なお、 本発明において、 プラズマ処理の均一性を向上させるために設ける整流 体 5 0の構造は、 第 9図に表したものに限定されず、 例えば、 ガス流に対するコ ンダクタンスを調整するものであれば、 その他の種々の構造を用いることができ る。
第 1 0図は、 本発明のプラズマ処理装置の第 3の具体例を表す模式図である。 同図についても、 図 1乃至図 9に関して前述したものと同様の要素には同一の符 号を付して詳細な説明は省略する。
本具体例においては、 チャンバ 1 0の内壁に、 プラズマ Pからの光 Lを吸収す る吸収体 6 0が設けられている。 このような吸収体 6 0を設けることにより、 プ ラズマ Pからの光 Lがチャンバ 1 0の内壁で吸収されるので、 被処理体 Wに照射 されること防ぐことができる。 その結果として、 プラズマ Pからの光 Lによる影 響をさらに確実に抑止することができる。
吸収体 6 0の材質や構造は、 プラズマ Pからの光 Lの波長に応じて適宜決定す ることができる。 例えば、 プラズマ Pからの光 Lが紫外線の場合、 これを吸収す る各種の無機材料、 金属材料、 有機材料あるいはこれらの複合材料を用いること ができる。 または、 屈折率が異なる 2種類の薄膜を交互に積層させた波長選択フ ィルタなどを用いることもできる。
またこのような吸収体 6 0は、 第 1 1図に例示した如く伝送管 3 0の内壁にも 設けてもよい。このようにすれば、伝送管 3 0の内壁における光 Lの反射を防ぎ、 被処理体 Wに対する光 Lの照射をさらに確実に遮断することができる。
第 1 2図は、 本発明のプラズマ処理装置の第 5の具体例を表す模式図である。 同図についても、 第 1図乃至第 1 1図に関して前述したものと同様の要素には同 一の符号を付して詳細な説明は省略する。
本具体例においては、 伝送管 3 0がチャンパ 1 0の側面に対して、 傾斜して接 続されている。 すなわち、 伝送管 3 0は、 その中心軸が被処理体 Wから遠ざかる 方向に傾斜して接続されている。 このようにすれば、 プラズマ Pからの光 Lを被 処理体 Wから遠ざけることより、 被処理体 Wが照射されることをさらに確実に防 ぐことができる。
また、 このように伝送管 3 0を傾斜させてチャンパ 1 0に接続しても、 プラズ マ Pから供給されるラジカルなどの活性種は、 ガス流 G 1に沿って被処理体 Wに 円滑に供給される。 つまり、 伝送管 3 0に 「曲げ」 などを設けていないので、 活 性種が管壁に衝突して失活することを防ぐことができる。 その結果として、 光 による影響を抑制しつつ、 迅速で効率のよいァッシングなどのプラズマ処理を実 行できる。
プラズマ Pの光 Lを遮蔽する効果は、 第 1 3図に例示した如く、 伝送管 3 0の 傾斜を大きくするほど高くなる。 すなわち、 第 1 3図に表したように伝送管 3 0 をさらに大きく傾斜させてチャンパ 1 0に接続すれば、 プラズマ Pからの光 Lを さらに被処理体 Wから遠ざけることができる。 また、 このような場合でも、 伝送 管 3 0に 「曲げ」 などが形成されていないため、 ラジカルなどの活性種はガス流 G 1に沿って失活することなく被処理体 Wの表面に供給される。
第 1 4図は、 本発明のプラズマ処理装置の第 7の具体例を表す模式図である。 同図についても、 第 1図乃至第 1 3図に関して前述したものと同様の要素には同 一の符号を付して詳細な説明は省略する。
本具体例においては、 伝送管 3 0の開口端付近に、 遮光体 7 0が設けられてい る。 遮光体 7 0は、 プラズマ Pから放出される光 Lを遮断し、 ラジカルなどの活 性種は透過させる作用を有する。 このような遮光体 7 0を設けることにより、 光 Lの照射による被処理体 Wの損傷を防ぐことができる。
第 1 5図は、 遮光体 7 0の具体例を表す模式断面図である。
本具体例の遮光体 7 0 Aは、 ブラインドの如く、 複数の板状体がルーバー (louver) 状に配置されている。 プラズマ Pからの光 Lは、 これら板状体に遮ら れ、 被処理体 Wに到達しない。 一方、'プラズマ Pから放出された活性種は、 ガス 流 G 1に沿って板状体の間隙を流れ、 被処理体 Wの表面に供給される。
ここで、 活性種の一部は、 板状体に衝突することが考えられるので、 板状体を 活性種の再結合が生じにくい材料により形成するとよい。 例えば、 ラジカルの再 結合率について説明すると、 ステンレスなどの金属の場合に概ね 0 . 1〜0 . 2 程度、 アルミナや石英の場合に概ね 0 . 0 0 1〜0 . 0 1程度、 テフロン (登録 商標) の場合に概ね 0 . 0 0 0 1程度である。 従って、 これらのうちではテフ口 ン (登録商標) を用いると、 ラジカルの失活を最も効果的に阻止できる。
また一方、 光 Lをさらに効率的に遮断するためには、 遮光体 7 0を構成する板 状体あるいはその表面を第 1 0図及び第 1 1図に関して前述した吸収体 6 0によ り形成するよい。
― 第 1 6図は、 板状体を複合材により形成した具体例を表す模式断面図である。 すなわち、 本具体例の遮光体 7 0 Bを構成する板状体は、 その一方の面が第 1の 層 7 O B aにより形成され、他方の面は第 2の層 7 0 B bにより形成されている。 第 1の層 7 0 B aは、 ガス流 G 1の入射側に配置され、 ラジカルの失活を抑制す る材料からなる。 一方、 第 2の層 7 0 B bは、 その裏側に配置され、 光 Lを吸収 する材料からなる。 このようにすれば、 矢印 L 1で例示したように板状体により 反射された光 Lを第 2の層 7 0 B bにより確実に吸収し、 被処理体 Wから遮断す ることができる。 また同時に、 ガス流 G 1に沿って板状体の表面 7 0 B aに衝突 する活性種の失活を防ぐこともできる。
なお、 本具体例とは逆に、 ガス流 G 1の入射側に光 Lの吸収層を設け、 その上 側に活性種の失活を防ぐ層を設けてもよい。
第 1 7図は、 遮光体 7 0の具体例を表す模式断面図である。
本具体例の遮光体 7 0 Cは、 開口が設けられた複数の邪魔板を有するバッフル (baffle) 状の構造体である。 それぞれの邪魔板の開口は、 互いに重ならないよ うに形成されている。 このような遮光体 7 0 Cにおいても、 プラズマ Pからの光 Lは、 これら邪魔板に遮られ、 被処理体 Wに到達しない。 一方、 プラズマ Pから 放出された活性種は、 ガス流 G 1に沿って開口を流れ、 被処理体 Wの表面に供給 される。
なお、 本具体例においても、 第 1 6図に例示した如く、 邪魔板の一方の面をラ ジカルの失活を抑制する層により形成し、 他方の面を光 Lを吸収する層により形 成してもよい。 このようにすれば、 光 Lをより確実に吸収し、 また同時に、 活性 種の失活を防ぐこともできる。
第 1 8図は、 本発明のプラズマ処理装置の第 8の具体例を表す模式図である。 同図についても、 第 1図乃至第 1 7図に関して前述したものと同様の要素には同 一の符号を付して詳細な説明は省略する。
本具体例においては、 チャンパ 1 0の上面に略 L字状に屈曲した接続管 3 0 L ― を介して伝送管 3 0が接続きれている。 プラズマ Pから放出された活性種は、—こ の伝送管 3 0及び接続管 3 0 Lを介して被処理体 Wの直上に供給される。そして、 略直角に屈曲した接続管 3 0 Lを設けることにより、 プラズマ Pから放出される 光 Lを遮蔽して、被処理体 Wを保護することができる。ただし、この構造の場合、 略 L字状の接続管 3 0 Lの管壁に活性種が衝突する割合が高く、 失活による損失 が大きい。 そこで、 接続管 3 0 Lを活性種の再結合が生じにくい材料により形成 する。具体的には、テフロン(登録商標)などのフッ素含有榭脂により形成する。 このようにすれば、 光 Lを遮断し、 また同時に、 活性種の失活を防ぐこともでき る。
なお、 本具体例においても、 第 9図に関して前述したような整流体 5 0を設け てもよく、 第 1 0図及び第 1 1図に関して前述したように光 Lの吸収体 6 0を設 けてもよく、 また、 第 1 4図乃至第 1 7図に関して前述したように、 遮光体 7 0 を設けてもよレ、。
第 1 9図は、 本発明のプラズマ処理装置の第 9の具体例を表す模式図である。 同図についても、 第 1図乃至第 1 8図に関して前述したものと同様の要素には同 一の符号を付して詳細な説明は省略する。
本具体例は、 「ダウンフロー型」 のプラズマ処理装置である。 この装置は、 チヤ ンバ 1 0と、 このチャンパ 1 0の上面に設けられた平板状の誘電体板からなる透 過窓 1 8と、 透過窓 1 8の外側に設けられたマイク口波導波管 2 0と、 透過窓 1
8の下方の処理空間において半導体ゥエーハなどの被処理物 Wを載置して保持す るためのステージ 1 6と、 を有する。
チャンバ 1 0は、真空排気系 Eにより形成される減圧雰囲気を維持可能であり、 処理空間に処理ガスを導入するためのガス導入管 (図示せず) が適宜設けられて いる。
たとえば、 このプラズマ処理装置を用いて被処理物 Wの表面にエッチング処理 を施す際には、 まず、 被処理物 Wが、 その表面を上方に向けた状態でステージ 1 6の上に载置される。 次いで、 真空排気系 Eによって処理空間が減圧状態にされ た後、この処理空間に、処理ガスとしてのエッチングガスが導入される。その後、 処理空間に処理ガスの雰囲気が形成された状態で、 マイクロ波導波管 2 0からス ロットアンテナ 2 0 Sにマイクロ波 Mが導入される。
マイクロ波 Mは、スロットアンテナ 2 0 Sから透過窓 1 8に向けて放射される。 透過窓 1 8は石英やアルミナなどの誘電体からなり、 マイクロ波 Mは、 透過窓 1 8の表面を伝搬して、 チャンパ 1 0内の処理空間に放射される。 このようにして 処理空間に放射されたマイクロ波 Mのエネルギーにより、 処理ガスのプラズマが 形成される。 こうして発生したプラズマ中の電子密度が透過窓 1 8を透過して供 給されるマイクロ波 Mを遮蔽できる密度 (カットオフ密度) 以上になると、 マイ クロ波は透過窓 1 8の下面からチャンパ内の処理空間に向けて一定距離 (スキン デブス) dだけ入るまでの間に反射され、 このマイクロ波の反射面とスロットァ ンテナ 2 0 Sの下面との間にはマイクロ波の定在波が形成される。
すると、 マイクロ波の反射面がプラズマ励起面となって、 このプラズマ励起面 で安定なプラズマ Pが励起されるようになる。 このプラズマ励起面で励起された 安定なプラズマ P中においては、 イオンや電子が処理ガスの分子と衝突すること により、 励起された原子や分子、 遊離原子 (ラジカル) などの励起活性種 (ブラ ズマ生成物) が生成される。 これらプラズマ生成物は、 矢印 Aで表したように処 理空間内を拡散して被処理物 Wの表面に飛来し、 エッチングなどのプラズマ処理 が行われる。 そして、 本具体例においては、 プラズマ Pと被処理体 Wとの間に遮光体 7 0が 設けられている。遮光体 7 0は、第 1 4図乃至第 1 7図に関して前述したように、 例えばルーパー状ゃパッフル状などに形成され、 プラズマ Pから放出される光 L を遮断しつつ、 ラジカルなどの活性種を透過させる。 このような遮光体 7 0を設 けることにより、 ダウンフロー型のプラズマ処理装置においても、 プラズマ Pか らの光 Lによる被処理体 Wの損傷を防ぎつつ、 高い効率のプラズマ処理を実施す ることが可能となる。
なお、 本具体例においても、 第 9図に関して前述したような整流体 5 0を設け てもよく、 第 1 0図及び第 1 1図に関して前述したように光 Lの吸収体 6 0を設 けてもよレ、。
以上具体例を参照しつつ本発明の実施の形態について説明した。 しかし、 本発 明は、 これらの具体例に限定されるものではなレ、。
例えば、 本発明のアツシング方法において用いる低誘電率材料やレジストの種 類や、 プラズマ処理装置を構成する各要素及びその配置関係などについては、 本 発明の趣旨に基づいて当業者が適宜変形したのも本発明の範囲に包含される。 産業上の利用可能性
本発明によれば、プラズマから放出される光による被処理体の損傷を防ぎつつ、 迅速且つ確実なプラズマ処理を実施することが可能となる。 その結果として、 例 えば、 低誘電率材料を用いた多層配線構造や絶縁ゲート型デバイスなどを安定し て製造することが可能となり、 産業上のメリットは多大である。

Claims

1 . 大気よりも減圧された雰囲気を維持可能なチャンパと、
前記チヤンパに接続された伝送管と、
前記伝送管にガスを導入するガス導入機構と、
前記伝送管の外側から内側にマイクロ波を導入するマイクロ波供給源と、 を備え、
前記伝送管内において前記ガスのプラズマを形成.し、 前記チャンパ内に設置さ れた被処理体のプラズマ処理を実施可能のなプラズマ処理装置であって、
前記伝送管は、 前記被処理体の主面に対して略垂直な前記チャンパの内壁に開 囲
口するように接続され、
前記被処理体は、 前記ブラズマから眺めた直視線上に設けられていないことを 特徴とするブラズマ処理装置。
2 . 前記伝送管は、 前記チャンバの前記内壁に対して略垂直に接続された場合 と比較してその軸線が前記被処理体から遠ざかる方向に傾斜して前記チャンパの 内壁に接続されてなることを特徴とする請求の範囲第 1項記載のプラズマ処理装 置。
3 . 大気よりも減圧された雰囲気を維持可能なチャンパと、
略 L字状の接続管を介して前記チャンバに接続された伝送管と、
前記伝送管にガスを導入するガス導入機構と、
前記伝送管の外側から内側にマイクロ波を導入するマイクロ波供給源と、 を備え、
前記伝送管内において前記ガスのプラズマを形成し、 前記チャンパ内に設置さ れた被処理体のプラズマ処理を実施可能なプラズマ処理装置であって、
前記接続管は、 前記被処理体の主面に略対向する前記チャンバの内壁に開口す るように接続され、 前記接続管の内壁は、 フッ素含有樹脂によりなることを特徴とするプラズマ処 理装置。
4 . 前記プラズマから放出される光を遮断し、 前記プラズマから放出される活 性種を透過させる遮光体を、 前記ブラズマと前記被処理体との間に備えたことを 特徴とする請求の範囲第 1項〜第 3項のいずれか 1つに記載のプラズマ処理装置。
5 . 大気よりも減圧された雰囲気を維持可能なチャンバと、
前記チャンバの壁面の一部を占める透過窓と、
前記透過窓を介して前記チャンバの外側から内側にマイクロ波を導入するマイ クロ波供給源と、
前記チャンバ内にガスを導入するガス導入機構と、
を備え、
前記チャンバ内において前記ガスのプラズマを形成し、 前記チャンバ内に設置 された被処理体のプラズマ処理を実施可能なプラズマ処理装置であって、
前記プラズマから放出される光を遮断し、 前記プラズマから放出される活性種 を透過させる遮光体を、 前記プラズマと前記被処理体との間に備えたことを特徴 とするプラズマ処理装置。
6 . 前記伝送管から供給されるガス流の前記被処理体の上における分布を調整 する整流手段をさらに備えたことを特徴とする請求の範囲第 1項〜第 5項のいず れか 1つに記載のプラズマ処理装置。
7 . 前記チャンパの内壁及ぴ前記伝送管の内壁の少なくともいずれかに、 前記 プラズマから放出される光を吸収する吸収体が設けられたことを特徴とする請求 の範囲第 1項〜第 6項のいずれか 1つに記載のプラズマ処理装置。
8 . 絶縁層の上にレジストが形成された被処理体の前記レジストを除去するァ ッシング方法であって、
水素と不活性ガスとを含むプラズマを形成し、 大気よりも減圧された雰囲気を 維持可能なチャンバ内に設置された前記被処理体に前記プラズマから放出される 活性種を作用させ、 且つ前記プラズマから放出される光が前記被処理体に実質的 に照射されない状態において前記レジストを除去することを特徴とするアツシン グ方法。
9 . 前記不活性ガスは、 ヘリウムであることを特徴とする請求の範囲第 8項記 載のアツシング方法。
1 0 . 前記絶縁層は、 低誘電率材料からなることを特徴とする請求の範囲第 8項 または第 9項に記載のアツシング方法。
PCT/JP2004/011657 2003-08-12 2004-08-06 プラズマ処理装置及びアッシング方法 WO2005015628A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/567,665 US7491908B2 (en) 2003-08-12 2004-08-06 Plasma processing device and ashing method
EP04771632A EP1655770A4 (en) 2003-08-12 2004-08-06 PLASMA PROCESSING DEVICE AND INCINERATION METHOD

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-207379 2003-08-12
JP2003207379A JP2005064037A (ja) 2003-08-12 2003-08-12 プラズマ処理装置及びアッシング方法

Publications (1)

Publication Number Publication Date
WO2005015628A1 true WO2005015628A1 (ja) 2005-02-17

Family

ID=34131427

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/011657 WO2005015628A1 (ja) 2003-08-12 2004-08-06 プラズマ処理装置及びアッシング方法

Country Status (7)

Country Link
US (1) US7491908B2 (ja)
EP (1) EP1655770A4 (ja)
JP (1) JP2005064037A (ja)
KR (2) KR100895253B1 (ja)
CN (1) CN100466193C (ja)
TW (1) TW200522198A (ja)
WO (1) WO2005015628A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100279512A1 (en) * 2007-11-14 2010-11-04 Tokyo Electron Limited Plasma processing apparatus and method for plasma-processing semiconductor substrate

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
JP5236225B2 (ja) * 2007-07-31 2013-07-17 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10049881B2 (en) 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
JP5780928B2 (ja) * 2011-11-22 2015-09-16 株式会社アルバック プラズマ処理装置
WO2015171335A1 (en) * 2014-05-06 2015-11-12 Applied Materials, Inc. Directional treatment for multi-dimensional device processing
WO2017160649A1 (en) * 2016-03-13 2017-09-21 Applied Materials, Inc. Methods and apparatus for selective dry etch
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US20200126769A1 (en) * 2018-10-23 2020-04-23 Hzo, Inc. Plasma ashing of coated substrates
US20220223383A1 (en) * 2019-04-05 2022-07-14 Applied Materials, Inc. Process system with variable flow valve
US11508573B2 (en) * 2019-12-31 2022-11-22 Micron Technology, Inc. Plasma doping of gap fill materials

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0945495A (ja) * 1995-08-02 1997-02-14 Ulvac Japan Ltd プラズマ処理装置
JPH10298787A (ja) * 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JPH1145873A (ja) * 1998-05-21 1999-02-16 Ulvac Japan Ltd プラズマアッシング方法
JP2000183040A (ja) * 1998-12-15 2000-06-30 Canon Inc 有機層間絶縁膜エッチング後のレジストアッシング方法
JP2001110775A (ja) * 1999-08-05 2001-04-20 Axcelis Technologies Inc フォトレジスト/エッチング後の残留物を取り除くためのプラズマストリッピング処理方法
JP2001115267A (ja) * 1999-10-19 2001-04-24 Canon Inc プラズマ処理装置及び処理方法
JP2002075961A (ja) * 2000-08-24 2002-03-15 Toshiba Corp 半導体装置の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5449073A (en) * 1977-09-26 1979-04-18 Mitsubishi Electric Corp Plasma processing unit
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5866986A (en) * 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
JP2000012526A (ja) 1998-06-25 2000-01-14 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6383301B1 (en) * 1998-08-04 2002-05-07 E. I. Du Pont De Nemours And Company Treatment of deagglomerated particles with plasma-activated species
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US7083991B2 (en) * 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0945495A (ja) * 1995-08-02 1997-02-14 Ulvac Japan Ltd プラズマ処理装置
JPH10298787A (ja) * 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JPH1145873A (ja) * 1998-05-21 1999-02-16 Ulvac Japan Ltd プラズマアッシング方法
JP2000183040A (ja) * 1998-12-15 2000-06-30 Canon Inc 有機層間絶縁膜エッチング後のレジストアッシング方法
JP2001110775A (ja) * 1999-08-05 2001-04-20 Axcelis Technologies Inc フォトレジスト/エッチング後の残留物を取り除くためのプラズマストリッピング処理方法
JP2001115267A (ja) * 1999-10-19 2001-04-24 Canon Inc プラズマ処理装置及び処理方法
JP2002075961A (ja) * 2000-08-24 2002-03-15 Toshiba Corp 半導体装置の製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1655770A4 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100279512A1 (en) * 2007-11-14 2010-11-04 Tokyo Electron Limited Plasma processing apparatus and method for plasma-processing semiconductor substrate

Also Published As

Publication number Publication date
TWI303850B (ja) 2008-12-01
CN1849701A (zh) 2006-10-18
JP2005064037A (ja) 2005-03-10
KR100895253B1 (ko) 2009-04-29
KR100835630B1 (ko) 2008-06-09
KR20080036157A (ko) 2008-04-24
US20070151956A1 (en) 2007-07-05
EP1655770A4 (en) 2009-01-14
EP1655770A1 (en) 2006-05-10
US7491908B2 (en) 2009-02-17
KR20060038468A (ko) 2006-05-03
CN100466193C (zh) 2009-03-04
TW200522198A (en) 2005-07-01

Similar Documents

Publication Publication Date Title
JP3288490B2 (ja) 半導体装置の製造方法及び半導体装置の製造装置
US20140116335A1 (en) UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus
JP4555143B2 (ja) 基板の処理方法
US6291363B1 (en) Surface treatment of DARC films to reduce defects in subsequent cap layers
JP2005033055A (ja) 放射状スロットに円弧状スロットを併設したマルチスロットアンテナを用いた表面波プラズマ処理装置
WO2005015628A1 (ja) プラズマ処理装置及びアッシング方法
EP1895565A1 (en) Plasma processing apparatus and method
JP2005093737A (ja) プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
KR100731331B1 (ko) 드라이 에칭방법
JPH0272620A (ja) プラズマ処理装置
KR100476903B1 (ko) 중성입자 변환 효율이 향상된 중성입자 처리 장치
JP3563214B2 (ja) プラズマエッチング方法
JPH0855698A (ja) プラズマ処理装置及びプラズマ処理方法
JP3373466B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR101384590B1 (ko) 반도체 디바이스 제조 방법 및 반도체 디바이스 제조 장치
JP2579346Y2 (ja) レジスト膜のアッシング装置
JPS6320833A (ja) アツシング装置
JPH05198498A (ja) レジスト膜のアッシング装置
JP2001044175A (ja) プラズマ処理装置
JP2007081341A (ja) 処理装置
JP2006012962A (ja) 斜め貫通孔付真空紫外光遮光板を用いたマイクロ波プラズマ処理装置及び処理方法
CN114097064A (zh) 真空处理方法
JPH01211920A (ja) 光化学反応装置
JPH05243138A (ja) 紫外線発生装置およびそれを用いた処理方法
JPH05234881A (ja) アッシング装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480026169.9

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020067002878

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004771632

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067002878

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004771632

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 10567665

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10567665

Country of ref document: US