WO2004094695A2 - Depot de couche atomique ameliore transitoire - Google Patents

Depot de couche atomique ameliore transitoire Download PDF

Info

Publication number
WO2004094695A2
WO2004094695A2 PCT/US2004/006352 US2004006352W WO2004094695A2 WO 2004094695 A2 WO2004094695 A2 WO 2004094695A2 US 2004006352 W US2004006352 W US 2004006352W WO 2004094695 A2 WO2004094695 A2 WO 2004094695A2
Authority
WO
WIPO (PCT)
Prior art keywords
ald
wafer
precursor
chemically reactive
dose
Prior art date
Application number
PCT/US2004/006352
Other languages
English (en)
Other versions
WO2004094695A3 (fr
Inventor
Gi Youl Kim
Anuranjan Srivastava
Thomas E. Seidel
Ana Londergan
Sasangan Ramanathan
Original Assignee
Genus, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus, Inc. filed Critical Genus, Inc.
Priority to CN2004800110044A priority Critical patent/CN1777697B/zh
Priority to EP04716178.1A priority patent/EP1616043B1/fr
Priority to JP2006509000A priority patent/JP4965247B2/ja
Priority to KR1020057020132A priority patent/KR101191222B1/ko
Publication of WO2004094695A2 publication Critical patent/WO2004094695A2/fr
Publication of WO2004094695A3 publication Critical patent/WO2004094695A3/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations

Abstract

L'invention concerne un procédé selon lequel une plaquette est exposée à une première dose de précurseur chimiquement réactif ne suffisant pas à obtenir une vitesse de dépôt de couche atomique saturée maximale sur la plaquette, puis à une deuxième dose de précurseur chimiquement réactif, les précurseurs étant répartis de manière à créer un dépôt de couche essentiellement uniforme. La deuxième dose de précurseur chimiquement réactif est également insuffisante pour obtenir une vitesse de dépôt de couche atomique saturée maximale sur la plaquette, ou suffisante pour obtenir un dépôt saturé mince sur la plaquette. Ledit procédé peut éventuellement faire intervenir des purges entre les expositions aux précurseurs, ou entre une seule série d'expositions.
PCT/US2004/006352 2003-04-23 2004-03-01 Depot de couche atomique ameliore transitoire WO2004094695A2 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN2004800110044A CN1777697B (zh) 2003-04-23 2004-03-01 瞬时增强原子层沉积
EP04716178.1A EP1616043B1 (fr) 2003-04-23 2004-03-01 Dépôt de couche atomique amélioré en transitoire
JP2006509000A JP4965247B2 (ja) 2003-04-23 2004-03-01 促進されたaldプロセス
KR1020057020132A KR101191222B1 (ko) 2003-04-23 2004-03-01 트랜지언트 강화 원자층 증착

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US46514303P 2003-04-23 2003-04-23
US60/465,143 2003-04-23

Publications (2)

Publication Number Publication Date
WO2004094695A2 true WO2004094695A2 (fr) 2004-11-04
WO2004094695A3 WO2004094695A3 (fr) 2005-03-10

Family

ID=33310998

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/006352 WO2004094695A2 (fr) 2003-04-23 2004-03-01 Depot de couche atomique ameliore transitoire

Country Status (6)

Country Link
US (1) US7981473B2 (fr)
EP (1) EP1616043B1 (fr)
JP (2) JP4965247B2 (fr)
KR (1) KR101191222B1 (fr)
CN (2) CN102191483B (fr)
WO (1) WO2004094695A2 (fr)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008053683A (ja) * 2006-07-27 2008-03-06 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置、および基板処理装置
US7426067B1 (en) 2001-12-17 2008-09-16 Regents Of The University Of Colorado Atomic layer deposition on micro-mechanical devices
US7871883B2 (en) 2005-09-21 2011-01-18 Sony Corporation Method of manufacturing semiconductor device includes the step of depositing the capacitor insulating film in a form of a hafnium silicate
WO2012121677A1 (fr) * 2011-03-09 2012-09-13 Nanyang Technological University Procédé pour déposer des films à gradient sur une surface de substrat par dépôt de couche atomique
US20170200599A1 (en) * 2008-11-26 2017-07-13 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus for forming film including at least two different elements
EP2388833A3 (fr) * 2010-05-21 2018-01-31 ASM International N.V. Cellule solaire et méthode pour sa fabrication
US20180363138A1 (en) * 2016-02-29 2018-12-20 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20210217609A1 (en) * 2018-06-06 2021-07-15 Tokyo Electron Limited Method or apparatus for forming thin film on substrate employing atomic layer epitaxy method

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
JP5703354B2 (ja) * 2008-11-26 2015-04-15 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
JP5692842B2 (ja) 2010-06-04 2015-04-01 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20120263876A1 (en) * 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
CN102339775A (zh) * 2011-09-23 2012-02-01 复旦大学 砷化镓表面自体氧化物清洗、纯化及淀积Al2O3介质的方法
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
CN102703880B (zh) * 2012-06-12 2014-01-15 浙江大学 利用原子层沉积制备高精度光学宽带抗反射多层膜的方法
TWI595112B (zh) * 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9598769B2 (en) * 2013-07-24 2017-03-21 Uchicago Argonne, Llc Method and system for continuous atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
FR3018825B1 (fr) * 2014-03-21 2017-09-01 Altatech Semiconductor Procede de depot en phase gazeuse
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
KR102369676B1 (ko) 2017-04-10 2022-03-04 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10896823B2 (en) 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
CN109753718B (zh) * 2018-12-28 2023-09-05 山西潞安太阳能科技有限责任公司 一种基于最小二乘法的pecvd色差改善方法
US10923359B2 (en) 2019-07-17 2021-02-16 Thomas E Seidel Limited dose and angle directed beam assisted ALE and ALD processes for localized coatings on non-planar surfaces
EP3892585A1 (fr) * 2020-04-09 2021-10-13 Imec VZW Culture d'un matériau diélectrique sur une surface
TW202212615A (zh) * 2020-06-25 2022-04-01 美商葛林陀德科技公司 藉由ald沉積的混合、實質均勻塗層
JP7315756B2 (ja) * 2020-09-18 2023-07-26 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN112526663A (zh) * 2020-11-04 2021-03-19 浙江大学 一种基于原子层沉积的吸收膜及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1069599A2 (fr) * 1999-07-15 2001-01-17 MooHan Co., Ltd Appareil de déposition de films minces sur des plaquettes semiconductrices
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
EP1256638A2 (fr) * 2001-05-07 2002-11-13 Samsung Electronics Co., Ltd. Couche mince multicomposants et procédé pour sa fabrication
US20030044538A1 (en) * 2000-07-19 2003-03-06 Derderian Garo J. Deposition methods

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
WO1987003740A1 (fr) * 1985-12-09 1987-06-18 Nippon Telegraph And Telephone Corporation Procede de formation d'une fine pellicule de semiconducteur compose
DE3712113C2 (de) 1986-10-15 1993-12-02 Schmid Rudolf Martin Selbstreinigendes Filter für gasförmige Medien und Verfahren zum Betreiben eines solchen Filters
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
DE10049257B4 (de) * 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20020092423A1 (en) 2000-09-05 2002-07-18 Gillingham Gary R. Methods for filtering air for a gas turbine system
KR100408733B1 (ko) * 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2003062490A2 (fr) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Dispositif et procede de depot de couches atomiques

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
EP1069599A2 (fr) * 1999-07-15 2001-01-17 MooHan Co., Ltd Appareil de déposition de films minces sur des plaquettes semiconductrices
US20030044538A1 (en) * 2000-07-19 2003-03-06 Derderian Garo J. Deposition methods
EP1256638A2 (fr) * 2001-05-07 2002-11-13 Samsung Electronics Co., Ltd. Couche mince multicomposants et procédé pour sa fabrication

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7426067B1 (en) 2001-12-17 2008-09-16 Regents Of The University Of Colorado Atomic layer deposition on micro-mechanical devices
US7871883B2 (en) 2005-09-21 2011-01-18 Sony Corporation Method of manufacturing semiconductor device includes the step of depositing the capacitor insulating film in a form of a hafnium silicate
JP2008053683A (ja) * 2006-07-27 2008-03-06 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置、および基板処理装置
US20170200599A1 (en) * 2008-11-26 2017-07-13 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus for forming film including at least two different elements
EP2388833A3 (fr) * 2010-05-21 2018-01-31 ASM International N.V. Cellule solaire et méthode pour sa fabrication
EP3664165A1 (fr) * 2010-05-21 2020-06-10 ASM International N.V. Cellule solaire et son procédé de fabrication
EP4084093A1 (fr) * 2010-05-21 2022-11-02 ASM International N.V. Cellule solaire et son procédé de fabrication
WO2012121677A1 (fr) * 2011-03-09 2012-09-13 Nanyang Technological University Procédé pour déposer des films à gradient sur une surface de substrat par dépôt de couche atomique
US20180363138A1 (en) * 2016-02-29 2018-12-20 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20210217609A1 (en) * 2018-06-06 2021-07-15 Tokyo Electron Limited Method or apparatus for forming thin film on substrate employing atomic layer epitaxy method

Also Published As

Publication number Publication date
WO2004094695A3 (fr) 2005-03-10
CN102191483A (zh) 2011-09-21
EP1616043B1 (fr) 2020-09-23
KR20060010758A (ko) 2006-02-02
CN1777697A (zh) 2006-05-24
CN102191483B (zh) 2012-10-03
US20080131601A1 (en) 2008-06-05
US7981473B2 (en) 2011-07-19
JP2006524434A (ja) 2006-10-26
KR101191222B1 (ko) 2012-10-16
CN1777697B (zh) 2011-06-22
EP1616043A2 (fr) 2006-01-18
JP2011171752A (ja) 2011-09-01
JP4965247B2 (ja) 2012-07-04

Similar Documents

Publication Publication Date Title
US7981473B2 (en) Transient enhanced atomic layer deposition
JP4546060B2 (ja) 原子層堆積法および化学気相成長法の均一性および再現性を向上するパッシベーション方法
US6773507B2 (en) Apparatus and method for fast-cycle atomic layer deposition
KR101379015B1 (ko) 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US9873942B2 (en) Methods of vapor deposition with multiple vapor sources
Crowell Chemical methods of thin film deposition: Chemical vapor deposition, atomic layer deposition, and related technologies
US7344755B2 (en) Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
JP4713241B2 (ja) 高速原子層堆積装置及び使用方法
US8287647B2 (en) Apparatus and method for atomic layer deposition
US20050221021A1 (en) Method and system for performing atomic layer deposition
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
EP1561239B1 (fr) Procedes de depot de couche atomique
KR20110048055A (ko) 반도체 처리 챔버용 공정 가스 분배
US11447854B2 (en) Process for film deposition on a substrate with non-uniform overlapping subpulses of a precursor
WO2013115957A1 (fr) Chambres empilées de traitement de substrats
Gschwandtner Production worthy ALD in batch reactors
WO2019042687A1 (fr) Procédé de dépôt d'un matériau isolant dans un trou d'interconnexion
Chu Atomic Layer Deposition (ALD) Processes for ULSI Manufacturing

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004716178

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2006509000

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020057020132

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20048110044

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2004716178

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057020132

Country of ref document: KR

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)