WO2004082011A1 - 半導体装置と半導体装置の製造方法 - Google Patents

半導体装置と半導体装置の製造方法 Download PDF

Info

Publication number
WO2004082011A1
WO2004082011A1 PCT/JP2003/003040 JP0303040W WO2004082011A1 WO 2004082011 A1 WO2004082011 A1 WO 2004082011A1 JP 0303040 W JP0303040 W JP 0303040W WO 2004082011 A1 WO2004082011 A1 WO 2004082011A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon substrate
insulating film
semiconductor device
film
gas
Prior art date
Application number
PCT/JP2003/003040
Other languages
English (en)
French (fr)
Inventor
Masaomi Yamaguchi
Original Assignee
Fujitsu Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Limited filed Critical Fujitsu Limited
Priority to AU2003221382A priority Critical patent/AU2003221382A1/en
Priority to JP2004569357A priority patent/JP4051063B2/ja
Priority to PCT/JP2003/003040 priority patent/WO2004082011A1/ja
Publication of WO2004082011A1 publication Critical patent/WO2004082011A1/ja
Priority to US11/050,832 priority patent/US7256145B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • the present invention relates to a semiconductor device and a method for manufacturing a semiconductor device, and more particularly to a semiconductor device having an insulating film with a high dielectric constant and a method for manufacturing a semiconductor device.
  • IG-FET insulated gate type field effect transistor
  • MOS transistor MOS transistor
  • IG-FETs have been miniaturized according to the scaling rule. Miniaturization makes it possible to reduce the dimensions of the IG-FET, such as reducing the thickness of the gate insulating film, shortening the gate length, etc., and to maintain the performance of the miniaturized device normally and improve the performance.
  • the thickness of the gate oxide film of next-generation M ⁇ S transistors must be reduced to less than 2 nm. This film thickness is the thickness at which the tunnel current starts to flow, so that the gate current cannot be controlled and an increase in power consumption cannot be avoided. As long as silicon oxide is used as the gate insulating film, there is a limit to miniaturization. In order to suppress a tunnel current passing through the gate insulating film, a thick gate insulating film may be used.
  • JP 200 1 274 378 the gate insulating film as a high barium titanate silicon oxide dielectric constant (B a (S r) T I_ ⁇ 3), titanium oxide (T i 0 2), acid tantalum (T a 2 0 5), zirconium oxide (Z r 0 2), hafnium oxide (H f 0 2), silicon nitride (S i 3 N 4), proposes that an alumina (a 1 2 0 3) .
  • silicon oxide is placed between the high relative dielectric constant insulating material film and the silicon substrate. A structure with an intervening con-membrane is also proposed.
  • An object of the present invention is to provide a semiconductor device having a gate insulating film using a high dielectric constant insulating material having a higher dielectric constant than silicon oxide.
  • Another object of the present invention is to provide a method for manufacturing a semiconductor device in which a high dielectric constant insulating material having a higher dielectric constant than silicon oxide can be formed as a gate insulating film.
  • Still another object of the present invention is to provide a semiconductor device using an oxide film of the flat band voltage H f! _ X A 1 x with reduced change in the (0 ⁇ x rather 0.3) as a gate insulating film It is.
  • Another object of the present invention is to manufacture a semiconductor device capable of forming an oxide film of H x X A 1 X (0 ⁇ x ⁇ 0.3) with a reduced change in flat band voltage as a gate insulating film. Is to provide a way.
  • a step of heating a silicon substrate in a reaction chamber comprising: a step of depositing by VD, and a step of supplying a film forming gas containing a source gas and a hydrogen gas to the surface of the silicon substrate.
  • a step of heating a silicon substrate in a reaction chamber and (b) forming a high dielectric constant insulating film having a relative dielectric constant higher than silicon nitride on the heated silicon substrate.
  • a method of manufacturing a semiconductor device comprising: a step of depositing by thermal CVD, a step of supplying a film forming gas containing a source gas and a hydrogen gas to the surface of the silicon substrate.
  • a silicon substrate having an active region, and a gate insulating film formed on the active region surface of the silicon substrate, wherein H f X A 1 x (0 ⁇ x A gate insulating film including a high-dielectric-constant insulating film substantially composed of an oxide of ⁇ 0.3) and having a flat-band voltage change of 0.3 V or less, and an impurity formed on the gate insulating film. And a source / drain region formed by adding an impurity to an active region of the silicon substrate on both sides of the gate electrode.
  • FIG. 18-10 is a cross-sectional view for explaining a method of forming a high dielectric constant insulating film on a silicon substrate by CVD.
  • FIG. 2 is a schematic sectional view showing the configuration of the thermal CVD apparatus.
  • FIGS. 3A and 3B are chemical structural formulas of the organic Hf raw material and the organic A1 raw material used in the experiment.
  • FIG. 4 is a table showing experimental results.
  • FIGS. 5A and 5B are schematic sectional views showing the configurations of the IG-FET and the semiconductor device. BEST MODE FOR CARRYING OUT THE INVENTION
  • Hafnium oxide is an insulator that can exhibit a relative dielectric constant several times to several tens times higher than that of silicon oxide, and has a high potential as a gate insulating film of IG-FET.
  • Ectofundium oxide octofuna
  • octofuna is a substance that easily crystallizes, and it is not easy to form a thin film having a uniform thickness.
  • a gate insulating film is formed only on a silicon substrate using hafnium oxide, a crystalline insulating film having a large leak is easily formed.
  • Aluminum oxide hafnium oxide (H f 0 2) (alumina> a (A l 2 ⁇ 3) mixed
  • crystallization can be suppressed.
  • the leak current decreases.
  • Aluminum oxide has a lower dielectric constant than hafnium oxide.
  • the amount of aluminum oxide to be mixed with the hafnium oxide is preferably limited to H f preparative X A 1 x O (0 ⁇ ⁇ 0. 3). From the purpose of the crystallization inhibitor, H f X _ X A 1 r O (0. 1 rather x rather 0.3) is preferable.
  • Such a high dielectric constant insulating film having a high relative dielectric constant cannot be formed by thermal oxidation of a silicon substrate.
  • Thermal chemical vapor deposition (CVD) is a method that can produce high-quality, high-k dielectric films without adversely affecting the substrate.
  • the flat band voltage deviates from the value (ideal value) required from the physical properties of the substance itself.
  • the change in the flat band voltage is considered to be due to the fixed charge in the film.
  • the presence of dangling bonds in the film can cause fixed charges. If the number of dangling bonds in the film decreases, the fixed charge may decrease.
  • the silicon substrate 1 of the surface 1 ⁇ 2 30 4:11 2 0 2 60: Washed with 1 H 2 S_ ⁇ 4 + H 2 0 2.
  • a natural oxide film 2 is formed on the surface of the silicon substrate 1. Dirt adhering to the surface of the natural oxide film 2 is cleaned.
  • the silicon substrate was washed with running pure water for 10 minutes.
  • H 2 S0 4 + H 2 residue of the O 2 cleaning is by connexion rinsed in pure water.
  • the silicon substrate was washed with running pure water for 10 minutes. Residue of the oxide film removing step of HF + H 2 0 is rinsed with pure water.
  • the silicon substrate was washed with running pure water for 10 minutes.
  • the remainder of the oxide film forming step by SC 2 is rinsed with pure water.
  • the substrate surface was dried by hot nitrogen drying. After that, the silicon substrate is transported to the CVD deposition equipment. I entered.
  • a high dielectric constant green film 4 of HfA1 ⁇ was formed on the chemical oxide film 3 of the silicon substrate 1 by thermal CVD.
  • H f A 1 O H f. 8 A1. . 2 ⁇ has been created.
  • the chemical oxide film 3 and the high dielectric constant insulating film 4 form a composite insulating film 5.
  • an insulated gate electrode can be formed.
  • An H 3 A 1 O film with a thickness of about 3 nm was grown on a silicon oxide film 3 with a thickness of about 1 nm, and a capacitance equivalent silicon oxide film thickness (CET) of 1.6 nm was obtained. It is possible to form a gate insulating film with a silicon oxide equivalent thickness (CET) of less than 2 nm by forming a Hi A 1 O insulating film having a thickness of 3 nm or more.
  • FIG. 2 schematically shows the configuration of a thermal CVD film forming apparatus.
  • a shower head 8 is disposed in the reaction chamber 6, and a susceptor 7 having a heater H is disposed below the shower head 8.
  • the shower head 8 is provided with independent pipes 9A and 9B.
  • a film forming gas such as a source gas for Hf and A1 is supplied from these pipes.
  • FI G. 3A, 3 B is, H f 0 H f was used as the second organic metal raw material (O t-C 4 H 9 ) 4 ( tetra evening one tangential butoxy hafnium, TTBH i), an organic metal source of A 1 1 shows the chemical structural formula of A 1 (t-C 4 H 9 ) 3 (tributyl butylaluminum, TTBA 1) used as a material.
  • ⁇ 2 and N 2 were used as film forming gases. Further, in the hope that the fixed charge is reduced, using H 2 gas.
  • the atmosphere pressure during the film formation was 65 Pa.
  • the total flow rate of the deposition gas was 1500 sccm.
  • FIG. 4 shows the three growth methods tested. High-dielectric-constant insulating films were grown by thermal CVD, and the flat band voltage V ⁇ b was examined after growth. The flat band voltage is represented by a deviation ⁇ V ⁇ b from the ideal value.
  • H f (0 t -C 4 H 9) 4 and nitrogen gas bubbling was 500 sc cm to A 1 - nitrogen gas (t C 4 H 9) 3 was bubbled 300 sc cm , by adding 1 00 0 sc cm 2 as a raw material gas is supplied from the head 8 to shower with Kiyari Agasu N 2 balance 600 sc cm, it was subjected to thermal CVD.
  • TTBH f by TTBA 1 is thermally decomposed on the substrate surface, H f and A 1 contained in the raw material gas is combined with oxygen to form a H f 0 2 and A 1 2 0 3, H f 0 8 A 10. 20 is formed.
  • This is a reference example corresponding to the film forming method of the background art.
  • the deviation ⁇ V fb of the flat band voltage was 0.422 V.
  • 300 sccm of H 2 is added to the deposition gas used in the first growth method.
  • the carrier gas N 2 flow rate was reduced to 300 sccm.
  • the third growth method is obtained by removing O 2 from the film forming gas used in the second growth method.
  • the remaining carrier gas N 2 is 400 sccm.
  • H f (0 t—C 4 H 9 ) 4 of the source gas contains four 0 atoms per H f atom.
  • oxygen may be supplied from the air, which is the atmosphere after the film formation. It is considered that an oxide film can be formed even when oxygen is not present in the film forming gas.
  • Thickness 3 nm of H f 0. 8 A 1 0 . 2 0 film is formed capacitance equivalent oxide silicon NmakuAtsu (CET) about 1.5 to obtain a 6 nm.
  • the deviation ⁇ V fb of the flat band voltage was 0.295 V.
  • ⁇ : fb is even smaller than the second growth method. It is considered that the fixed charge was further reduced.
  • the change of the flat band voltage can be reduced to 0.3 V or less.
  • the cause of the fixed charge reduction has not yet been fully elucidated.
  • One possibility is that when a high dielectric constant insulating film is formed by thermal CVD, dangling bonds tend to remain in the film, but the dangling bonds are terminated with hydrogen due to the addition of hydrogen, and as a result, fixed charges are generated. It is thought that it will decrease.
  • the high dielectric constant insulating film formed by the third growth method has a reduced carbon content. The decrease in carbon content may have contributed to the reduction of fixed charge.
  • the CVD film forming temperature was set to 500 in all cases.
  • the deposition temperature is not limited to 500.
  • an HfA1O film will be successfully grown.
  • the flow rate of hydrogen is not limited to 20 vol%.
  • Hydrogen can be selected from the range of 1-30%.
  • Material gas H f is not limited to H f (O t C 4 H 9) 4.
  • H f ⁇ N (CH 3) 2 ⁇ 4, H f ⁇ N (C 2 H 5) 2 ⁇ 4, H f ⁇ N (CH 3) (C 2 H 5) ⁇ may Der be used 4 etc.
  • Material gas A 1 also is not limited to A 1 (t C 4 H 9 ) 3.
  • a l (C 2 H 5) 3, A 1 (CH 3) 3 , etc. would be used.
  • the ambient pressure during film formation is between 10 Pa and 100 Pa.
  • the change of flat band voltage can be suppressed by using hydrogen gas even when other high dielectric constant insulating films are grown by thermal CVD.
  • the source gas is not limited to organometallics, but it is highly likely that organometallic sources are used.
  • FI G. 5 A shows the configuration of an n-channel IG-FET.
  • An element isolation region 12 is formed on a silicon substrate 11 by shallow trench isolation (STI), and a p-type well 13 p is formed in an active region. Elsewhere, n-type cells are also made.
  • a gate insulating film is formed on the surface of the active region.
  • the gate insulating film 14 is formed by laminating a chemical oxide film and a high-dielectric-constant insulating film grown by CVD using a deposition gas containing H 2 gas.
  • a source gas containing TTBH f, TTBA 1 and oxygen at a predetermined ratio and a hydrogen gas are supplied on the surface of a silicon substrate on which a chemical oxide film is formed.
  • f ⁇ . 8 A 1 o. 20 Form a film.
  • a single layer of the high dielectric constant insulating film may be formed.
  • a gate electrode 15n of n-type polycrystalline silicon doped with phosphorus (P) or arsenic (As) is formed on the gate insulating film 14.
  • n-type extension regions 16 n are formed on the substrate surface on both sides of the gate electrode.
  • a sidewall spacer 17 of silicon oxide or the like is formed on the sidewall of the gate electrode, and a high-concentration n-type source / drain region 18 n is formed in the substrate outside the sidewall spacer 17.
  • a silicide layer 19 such as-. Co Si is formed.
  • an n-channel IG-FET 20 n is formed on the gate insulating film 14.
  • the gate insulating film is formed using the high dielectric constant insulating film, the physical film thickness can be increased even if the equivalent silicon oxide film thickness is reduced, and the tunnel current can be suppressed. .
  • the change in the flat band voltage of the gate insulating film is suppressed. It is considered that the fixed charge is reduced in the gate insulating film.
  • FIG.5B shows a configuration example of a semiconductor integrated circuit device.
  • an n-type well 13n and a p-type well 13p are formed on the silicon substrate 11.
  • the above-mentioned n-channel IG-FET 20 n is formed in the p-type well 13 p.
  • the p-channel IG—FET20p is formed in the n-type well 13n.
  • P and n after the reference numerals indicate conductivity types.
  • the p-channel IG-FET 20P has a configuration in which the conductivity type of each semiconductor region of the n-channel IG-FET is inverted.
  • the high dielectric constant insulating film suppresses the change ⁇ V fb of the flat band voltage.
  • An interlayer insulating film 21 is formed to cover the gate electrode, and a multilayer wiring 24 is formed in the interlayer insulating film.
  • Each wiring 24 is configured using a barrier metal layer 22 and a main wiring layer 23 such as copper.
  • the present invention has been described with reference to the embodiments, the present invention is not limited thereto.
  • the composition of H f A 1 O is not limited to H f 0. 8 A 1 o . 2 ⁇ .
  • other metal oxides could be used. It will be apparent to those skilled in the art that various other modifications, improvements, and combinations are possible.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

 ゲート絶縁膜としてフラットバンド電圧の変化ΔVfbが小さなHf1−xAlx(0<x<0.3)の酸化膜を形成することのできる半導体装置の製造方法を提供する。 半導体装置の製造方法は、(a)反応室内でシリコン基板を加熱する工程と、(b)前記加熱したシリコン基板上に酸化シリコンより高い比誘電率を有する高誘電率絶縁膜を熱CVDで堆積する工程であって、原料ガスと水素ガスとを含む成膜ガスを前記シリコン基板の表面に供給する工程と、を含む。

Description

明細書
半導体装置と半導体装置の製造方法 技術分野
本発明は、 半導体装置と半導体装置の製造方法に関し、 特に高誘電率の絶縁膜 を有する半導体装置と半導体装置の製造方法に関する。 背景技術
半導体集積回路装置に用いられる代表的半導体素子として、 MOSトランジス 夕を代表とする絶縁ゲート ( I G) 型電界効果トランジスタ (FET) が広く用 いられている。 半導体集積回路装置の高集積化のために、 I G—FETはスケー リング則に従って微細化されてきた。 微細化は、 ゲート絶縁膜を薄くする、 ゲー ト長を短くする、 等 I G— FETの各寸法を縮小し、 微細化した素子の性能を正 常に保ち、 性能を向上することを可能とする。
次世代の M〇Sトランジスタのゲート酸化膜の厚さは 2 nm以下に薄膜化する ことが要求される。 この膜厚はトンネル電流が流れ始める厚さであり、 ゲ一トリ ーク電流が制御できなくなり、 消費電力の増大を回避できなくなる。 ゲート絶縁 膜として酸化シリコンを用いる限り微細化に限界が生じる。 ゲート絶縁膜を貫通 するトンネル電流を抑制するためには、 厚いゲ一ト絶縁膜を用いればよい。
酸化シリコン膜換算のゲート絶縁膜の実効膜厚を 2 nm以下としつつ、 物理的 膜厚を増大させるため、 酸化シリコンよりも比誘電率の高い高誘電率絶縁材料を ゲート絶縁膜に用いる提案がなされている。酸化シリコンの比誘電率は、成膜方法 にもよるが、例えば約 3. 5〜4. 5と言われている。窒化シリコンは酸化シリコ ンより高い比誘電率を有し、 比誘電率は約 7〜 8と言われている。
特開 200 1— 274378は、 ゲート絶縁膜として酸化シリコンより比誘電 率の高いチタン酸バリウム (B a (S r) T i〇3)、 酸化チタン (T i 02)、 酸 化タンタル (T a 205)、 酸化ジルコニウム (Z r 02)、 酸化ハフニウム (H f 02)、 窒化シリコン (S i 3N4)、 アルミナ (A 1203) を用いることを提案し ている。 また、 これらの高比誘電率絶縁材料膜とシリコン基板との間に酸化シリ コン膜を介在させる構造も提案している。
特許文献
特開 2001— 274378号公報
I G-FE Tのゲート絶縁膜として比誘電率の高い新たな材料を採用すると、 新たな問題も生じる。 新たな材料の実用化を促進するためには、 新たな問題を解 決することが望まれる。 発明の開示
本発明の目的は、 酸化シリコンより比誘電率の高い高誘電率絶縁材料を用いた ゲート絶縁膜を有する半導体装置を提供することである。
本発明の他の目的は、 酸化シリコンより比誘電率の高い高誘電率絶縁材料をゲ 一ト絶縁膜として形成することのできる半導体装置の製造方法を提供することで める。
本発明のさらに他の目的は、 フラットバンド電圧の変化を低減した H f !_XA 1 x (0<xく 0. 3) の酸化膜をゲート絶縁膜として用いた半導体装置を提供 することである。
本発明の他の目的は、 ゲート絶縁膜としてフラットバンド電圧の変化を低減し た H f 卜 XA 1 X (0<x<0. 3) の酸化膜を形成することのできる半導体装置 の製造方法を提供することである。
本発明の 1観点によれば、 (a) 反応室内でシリコン基板を加熱する工程と、 (b) 前記加熱したシリコン基板上に酸化シリコンより高い比誘電率を有する高 誘電率絶縁膜を熱 C VDで堆積する工程であつて、 原料ガスと水素ガスとを含む 成膜ガスを前記シリコン基板の表面に供給する工程と、 を含む半導体装置の製造 方法が提供される。
本発明の他の観点によれば、 (a) 反応室内でシリコン基板を加熱する工程と、 (b) 前記加熱したシリコン基板上に窒化シリコンょり高い比誘電率を有する高 誘電率絶縁膜を熱 CVDで堆積する工程であって、 原料ガスと水素ガスとを含む 成膜ガスを前記シリコン基板の表面に供給する工程と、 を含む半導体装置の製造 方法が提供される。 本発明のさらに他の観点によれば、 活性領域を有するシリコン基板と、 前記シ リコン基板の活性領域表面上に形成されたゲート絶縁膜であって、 H f ト XA 1 x (0<x<0. 3) の酸化物から実質的に構成され、 フラットバンド電圧の変化 が 0. 3 V以下である高誘電率絶縁膜を含むゲート絶縁膜と、 前記ゲート絶縁膜 上に形成され、 不純物を添加したシリコン層を含むゲート電極と、 前記ゲート電 極の両側で、 前記シリコン基板の活性領域内に不純物を添加して形成されたソー ス ドレイン領域と、 を有する半導体装置が提供される。
酸化シリコンより比誘電率の高い高誘電率絶縁膜を、 熱 CVDで成膜する際、 成膜ガスに水素を加入すると、 フラットバンド電圧の変化が減少することが見出 された。フラットバンド電圧は、膜中の固定電荷に応じて変化すると言われている。 成膜ガスへの水素の加入により、 膜中の固定電荷が減少したと考えられる。 図面の簡単な説明
F I G. 1八ー 10は、 シリコン基板上に高誘電率絶縁膜を CVDで形成する 方法を説明するための断面図である。
F I G. 2は、 熱 CVD装置の構成を示す概略断面図である。
F I G. 3A、 3 Bは、 実験に用いた、 有機 H f原料、 有機 A 1原料の化学構 造式である。
F I G. 4は、 実験結果を示す表である。
F I G. 5 A, 5Bは、 I G— FET及び半導体装置の構成を示す概略断面図 である。 発明を実施するための最良の形態
酸化ハフニウムは、 酸化シリコンより数倍から十数倍高い比誘電率を示し得る 絶縁体であり、 I G— F E Tのゲート絶縁膜として高い可能性を有する。 酸化八 フニゥム (八フニァ) は結晶化し易い物質であり、 薄く均一な厚さを有する緻密 な膜を形成することは容易でない。 シリコン基板上に酸化ハフニウムのみでゲー ト絶綠膜を形成すると、 リークの多い結晶性絶縁膜が形成されやすい。
酸化ハフニウム (H f 02) に酸化アルミニウム (アルミナ〉 (A l 23) を混 ぜると結晶化を抑制することができる。 結晶化が抑制されるとリーク電流は低減 する。 酸化アルミニウムは、 酸化ハフニウムより低い比誘電率を有する。 なるベ <高い比誘電率を得るためには.. 酸化ハフニウムに混合する酸化アルミニウムの 量は H f ト XA 1 xO ( 0 < < 0. 3) に制限することが好ましい。 結晶化抑制 の目的からは、 H f X_XA 1 rO (0. 1く xく 0. 3) が好ましい。
このような高比誘電率の高誘電率絶縁膜は、 シリコン基板の熱酸化では形成で きない。 基板に悪影響を与えず、 良質の高誘電率絶縁膜を形成できる方法として 熱化学気相堆積 (CVD) がある。
熱 CVDで H f A 1 O膜を形成すると、 フラッ卜バンド電圧が物質そのものの 物性から求められる値 (理想値) からずれてしまう。 フラットバンド電圧の変化 は、 膜中の固定電荷によるものと考えられる。 例えば、 膜中にダングリングボン ドが存在すると、固定電荷の原因になり得る。膜中のダングリングボンドが減少す れば、 固定電荷も減少することが考えられる。 以下、 発明者の行なった実験に沿 つて説明する。
F I G. 1 Aに示すように、シリコン基板1の表面を1^2304:11202= 60: 1の H2S〇4+H202で洗浄した。シリコン基板 1表面には自然酸化膜 2が形成 されている。 自然酸化膜 2表面に付着している汚れが洗浄される。
F I G. I Bに示すように、 シリコン基板を純水で 1 0分間流水洗浄した。 H 2S04 + H2 O 2洗浄の残さが純水によつてリンスされる。
F I G. 1 Cに示すように、 HF : H2〇= 1 : 2 0の希 HF水溶液にシリコ ン基板 1を約 1分間浸し、 シリコン基板表面の自然酸化膜 2を除去した。
F I G. I Dに示すように、 シリコン基板を純水で 1 0分間流水洗浄した。 H F + H 20の酸化膜除去工程の残さが純水によってリンスされる。
F I G. 1 Eに示すように、 シリコン基板を S C 2洗浄 (HC 1 : H 202: H 20= 1 : 1 : 5) し、 シリコン表面に S C 2によるケミカルォキサイド膜 3を 形成した。 自然酸化膜 2より清浄な酸化シリコン膜 3が形成される。
F I G. 1 Fに示すように、 シリコン基板を純水で 1 0分間流水洗浄した。 S C 2による酸化膜形成工程の残さが純水によってリンスされる。 続いて、 熱窒素 乾燥により、 基板表面を乾燥した。 その後、 シリコン基板を CVD成膜装置に搬 入した。
F I G. 1 Gに示すように、 シリコン基板 1のケミカルォキサイド膜 3の上に H f A 1〇の高誘電率絶緑膜 4を熱 CVDによって成膜した。 H f A 1 Oとして は、 H f 。. 8A 1。. 2〇を作成した。 ケミカルオキサイド膜 3と高誘電率絶縁膜 4とが、 複合絶縁膜 5を形成する。 絶緣膜 5の上にドープしたシリコン膜を形成 し、 絶縁ゲート電極を作成することができる。
厚さ約 1 nmの酸化シリコン膜 3の上に厚さ約 3 nmの H ί A 1 O膜を成長し、 キャパシタンス等価酸化シリコン膜厚 (CET) 1. 6 nmを得た。 厚さ 3 nm 以上の Hi A 1 O絶縁膜を形成して、 酸化シリコン換算膜厚 (CET) が 2 nm 未満のゲ一ト絶縁膜を形成することが可能である。
F I G. 2は、 熱 CVD成膜装置の構成を概略的に示す。 反応室 6の中にシャ ヮ一へッド 8が配置され、 シャワーへッド 8の下方にヒー夕 Hを備えたサセプタ 7が配置されている。 シャワーヘッド 8には、 独立した配管 9 A, 9 Bが設けら れている。 これらの配管から H f 、 A 1の原料ガス等の成膜ガスを供給する。
F I G. 3A, 3 Bは、 H f 02の有機金属原料として用いた H f (O t—C4 H9) 4 (テトラ夕一シャルブトキシハフニウム、 TTBH i)、 A 1の有機金属 原料として用いた A 1 ( t -C4H9) 3 (卜リタ一シャルブチルアルミニウム、 TTBA 1 ) の化学構造式を示す。
その他、 成膜ガスとして〇2, N2を用いた。 さらに、 固定電荷が減少すること を期待して、 H2ガスを用いた。サセプ夕の温度、 従って成膜中のシリコン基板の 温度は 500 とした。 成膜中の雰囲気圧力は 65 P aとした。 成膜ガスの総流 量は 1500 s c cmとした。
F I G. 4は、 実験した 3種類の成長方法を示す。 熱 CVDで高誘電率絶縁膜 を成長し、 成長後フラットバンド電圧 V ί bを調べた。 フラットバンド電圧は、 理想値からのずれ Δ V ί bで表す。
第 1の成長方法は、 H f (0 t -C4H9) 4をバブリングした 500 s c cm の窒素ガスと A 1 ( t - C4H9) 3をバブリングした 300 s c cmの窒素ガス に、 1 00 s c cmの 02を加えて原料ガスとし、 残部 600 s c cmのキヤリ ァガス N2と共にシャワーへッド 8から供給し、 熱 CVDを行なった。 TTBH f 、 TTBA 1が基板表面で熱分解し、 原料ガスに含まれていた H fや A 1が酸 素と結合し、 H f 02や A 1203を形成することにより、 H f 0. 8A 10. 20が形 成される。 これは、 背景技術の成膜方法に相当する参考例である。
厚さ 3 nmの H f 0. 8A 10. 2 O膜を形成してキヤパシタンス等価酸化シリコ ン膜厚(CET) 1. 6 nmを得た。 フラットバンド電圧のずれ△ V f bは、 0. 422 Vであった。
第 2の成長方法は、 第 1の成長方法の成膜ガスに 300 s c c mの H2を加入 するものである。 キヤリアガスの N2流量は 300 s c c mへ減少させた。
厚さ 3 nmのH f 。. 8A 1。. 2 O膜を形成してキャパシタンス等価酸化シリコ ン膜厚 (CET) 約 1. 6 nmを得た。 フラットバンド電圧のずれ Δ V f bは、 0. 390 Vであった。 第 1の成長方法より Δν f bは小さくなつた。 固定電荷 が減少したと考えられる。
第 3の成長方法は、 第 2の成長方法の成膜ガスから O 2を除いたものである。 残部のキャリアガス N2は 400 s c cmとなる。 原料ガスの H f (0 t— C4H 9) 4 は、 H f 1原子あたり 4つの 0原子を含んでいる。 高誘電率絶縁膜下には 酸化シリコン膜もある。 また成膜後の雰囲気である空気中から酸素が供給される 可能性もある。 成膜ガス中に酸素が存在しなくても、 酸化膜が成膜できると考え られる。
厚さ 3 nmの H f 0. 8A 10. 20膜を形成してキャパシタンス等価酸化シリコ ン膜厚 (CET) 約 1. 6 nmを得た。 フラットバンド電圧のずれ△ V f bは、 0. 295 Vであった。 Δν :f bは、 第 2の成長方法よりさらに小さくなつた。 固定電荷がさらに減少したと考えられる。
フラットバンド電圧の変化を 0. 3 V以下にできることが判明した。 成膜条件 を改良することにより、 成膜ガス中に酸素を入れてもフラットバンド電圧の変化 を 0. 3 V以下にすることが可能であろう。
固定電荷の減少が何によるものかは、未だ十分解明されていない。 1つの可能性 としては、 熱 CVDで高誘電率絶縁膜を成膜すると、 膜中に未結合手が残り易い が、 水素の加入により未結合手が水素で終端化され、 結果として固定電荷が減少 することが考えられる。また、参考例で形成した高誘電率絶縁膜と比較して、第 2、 第 3の成長方法で作成した高誘電率絶縁膜は炭素の含有量が減少している。炭素 含有量の減少が固定電荷減少に寄与している可能性もある。
なお、 ヒステリシスやゲートリーク電流も測定したが、 データのバラツキが大 きく、 定性的な傾向を見出すには到っていない。 さらに成膜条件等を研究するこ とにより、 物性をさらに改良できることが期待される。
以上、 CVD成膜温度はいずれも 500 で行なった。 成膜温度は 500 に 限らない。 400 一 600°Cの成膜温度で、 良好に H f A 1 O膜を成長できる であろう。
成膜ガス中に 20 vol %の水素を加入する場合を説明したが、水素の流量は 20 vol %に限らない。 水素は、 1— 30 %の範囲から選択できょう。
H f の原料ガスは、 H f (O t C4H9) 4に限らない。 H f {N (CH3) 2} 4、 H f {N (C2H5) 2} 4、 H f {N (CH3) (C2H5)} 4等を用いてもよい であろう。 A 1の原料ガスも A 1 ( t C4H9) 3に限らない。 A l (C2H5) 3、 A 1 (CH3) 3等を用いてもよいであろう。
成膜中の雰囲気圧力を増大させると、 高誘電率絶縁膜の面内分布が悪くなる傾 向が見られた。 成膜中の雰囲気圧力は、 10 P a— 100 P aとするのが好まし いであろう。
H f A 1〇を熱 CVDする場合を説明したが、 他の高誘電率絶縁膜を熱 CVD で成長する場合にも、 水素ガスを用いることにより、 フラットバンド電圧の変化 を抑制することが可能であろう。 原料ガスは、 有機金属に限らないが、 特に有機 金属原料を用いた場合、 可能性が高いであろう。
F I G. 5 Aは、 nチャネル I G— F ETの構成を示す。 シリコン基板 1 1に シヤロートレンチアイソレーション (ST I) による素子分離領域 12が形成さ れ、 活性領域に p型ゥエル 13 pが形成される。 他の場所には n型ゥエルも作ら れる。 活性領域表面上にゲート絶縁膜 14が形成される。 ゲート絶縁膜 14は、 ケミカルォキサイド膜と H2ガスを加入した成膜ガスを用いて CVD成長した高 誘電率絶縁膜との積層で構成される。
例えば、 ケミカルォキサイド膜を形成したシリコン基板表面上に TTBH f と TTBA 1と酸素を所定比で含む原料ガスと水素ガスとを供給し、 熱 CVDで H f ο. 8A 1 o. 20膜を形成する。 酸化シリコン膜と高誘電率絶縁膜との積層の代 りに、 高誘電率絶縁膜の単層を形成してもよい。
ゲート絶縁膜 14の上には燐 (P) 又は砒素 (As) をド一プした n型多結晶 シリコンのゲ一ト電極 1 5 nが形成される。 ゲート電極の両側の基板表面に n型 エクステンション領域 16 nが形成される。 ゲート電極の側壁上に酸化シリコン 等のサイドウオールスぺ一サ 17が形成され、 サイドウオールスぺーサ 1 7の外 側の基板内に高濃度の n型ソース/'ドレイン領域 18 nが形成される。 ゲート電 極 1 5 n、 ソース Zドレイン領域 1 8 ηの上には-. C o S i等のシリサイド層 1 9が形成される。このようにして、 nチャネル I G-FET 20 nが形成される。 上記の構成によれば、 ゲート絶縁膜は高誘電率絶縁膜を用いて形成されている ため、 等価酸化シリコン膜厚を薄くしても、 物理的膜厚を厚くでき、 トンネル電 流を抑制できる。 ゲート絶縁膜のフラットバンド電圧の変化が抑制される。 ゲー ト絶縁膜は固定電荷が低減していると考えられる。
F I G. 5 Bは、半導体集積回路装置の構成例を示す。シリコン基板 1 1には、 n型ゥエル 1 3 n、 p型ゥエル 13 pが形成される。 p型ゥエル 13 pには上述 の nチャネル I G— FET 20 nが形成される。 n型ゥエル 13 nには pチヤネ ル I G— FET20 pが形成される。 参照符号の後の p、 nは導電型を示す。 p チャネル I G— FET20 Pは、 nチャネル I G— F E Tの各半導体領域の導電 型を反転した構成を有する。
ゲート絶縁膜 14は、 nチャネル I G— F ET、 pチャネル I G— F E Tとも に水素を加入した成膜ガスを用いて CVD成長した共通の H f 0. 8A 1 o. 20高 誘電率絶縁膜を用いて形成される。 高誘電率絶縁膜は、 フラットバンド電圧の変 化 Δ V f bが抑制される。
ゲート電極を覆って、 層間絶縁膜 2 1が形成され、 層間絶縁膜中に多層配線 2 4が形成されている。 各配線 24は、 バリアメタル層 22と銅等の主配線層 23 を用いて構成される。
以上実施例に沿って本発明を説明したが、 本発明はこれらに制限されるもので はない。 例えば、 H f A 1 Oの組成は H f 0. 8A 1 o. 2〇に限らない。さらに、 他 の金属酸化物を用いることも可能であろう。 その他、種々の変更、改良、組み合わせが可能なことは当業者に自明であろう 産業上の利用の可能性
微細化した I G— F E Tを含む半導体集積回路装置等に利用できる。

Claims

請求の範囲
1. (a) 反応室内でシリコン基板を加熱する工程と、
(b) 前記加熱したシリコン基板上に酸化シリコンより高い比誘電率を有する 高誘電率絶縁膜を熱 CVDで堆積する工程であって、 原料ガスと水素ガスとを含 む成膜ガスを前記シリコン基板の表面に供給する工程と、
を含む半導体装置の製造方法。
2. (a) 反応室内でシリコン基板を加熱する工程と、
(b) 前記加熱したシリコン基板上に窒化シリコンより高い比誘電率を有する 高誘電率絶縁膜を熱 CVDで堆積する工程であって、 原料ガスと水素ガスとを含 む成膜ガスを前記シリコン基板の表面に供給する工程と、
を含む半導体装置の製造方法。
3. 前記原料ガスが、 H f の金属化合物と A 1の金属化合物をキャリアガス中 に含むガスであり、 前記高誘電率絶縁膜は、 H f A 10膜である請求の範囲第 1 項又は第 2項記載の半導体装置の製造方法。
4. 俞記原料ガスが、 H f の有機金属化合物と A 1の有機金属化合物をキヤリ ァガス中に含むガスである請求の範囲第 3項記載の半導体装置の製造方法。
5. H iの有機金属化合物は、 H f (O t C4H9) 4、 H f {N (CH3) 2} 4、 H f {N (C2H5) 2} 4、 H f {N (CH3) (C2H5)} 4の少なくとも 1種、 A 1の有機金属化合物は、 A 1 ( t C4H9) A 1 (C2H5) 3、 A 1 (CH3) 3の少なくとも 1種である請求の範囲第 4項記載の半導体装置の製造方法。
6. 前記工程 (a) の前に、 (X) シリコン基板表面にケミカルオキサイド層を 形成する工程を含む請求の範囲第 1項又は第 2項記載の半導体装置の製造方法。
7. 前記シリコン基板が表面に約 1 nm以下の厚さの酸化シリコン膜を有し、 前記成膜ガスが酸素を含まない請求の範囲第 3項記載の半導体装置の製造方法。
8. 前記工程 (a) が、 シリコン基板を 400° ( 〜 600 の温度に加熱する 請求の範囲第 3項記載の半導体装置の製造方法。
9. 前記工程 (b) が、 10 P a〜; L 00 P aの圧力下で熱 C VDを行なう請 求の範囲第 3項記載の半導体装置の製造方法。
10. 活性領域を有するシリコン基板と、
前記シリコン基板の活性領域表面上に形成されたゲート絶縁膜であって、 H f !_XA 1 x (0<x<0. 3) の酸化物から実質的に構成され、 フラットバンド電 圧の変化が 0. 3 V以下である高誘電率絶縁膜を含むゲート絶縁膜と、
前記ゲート絶縁膜上に形成され、 不純物を添加したシリコン層を含むゲート電 極と、
前記ゲート電極の両側で、 前記シリコン基板の活性領域内に不純物を添加して 形成されたソース Zドレイン領域と、
を有する半導体装置。
1 1. 前記ゲート絶縁膜が、 シリコン基板上に形成された酸化シリコン膜と、 その上に形成された高誘電率絶縁膜との積層で構成される請求の範囲第 10項記 載の半導体装置。
PCT/JP2003/003040 2003-03-13 2003-03-13 半導体装置と半導体装置の製造方法 WO2004082011A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU2003221382A AU2003221382A1 (en) 2003-03-13 2003-03-13 Semiconductor device and method for manufacturing semiconductor device
JP2004569357A JP4051063B2 (ja) 2003-03-13 2003-03-13 半導体装置の製造方法
PCT/JP2003/003040 WO2004082011A1 (ja) 2003-03-13 2003-03-13 半導体装置と半導体装置の製造方法
US11/050,832 US7256145B2 (en) 2003-03-13 2005-01-27 Manufacture of semiconductor device having insulation film of high dielectric constant

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2003/003040 WO2004082011A1 (ja) 2003-03-13 2003-03-13 半導体装置と半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/050,832 Continuation US7256145B2 (en) 2003-03-13 2005-01-27 Manufacture of semiconductor device having insulation film of high dielectric constant

Publications (1)

Publication Number Publication Date
WO2004082011A1 true WO2004082011A1 (ja) 2004-09-23

Family

ID=32983466

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/003040 WO2004082011A1 (ja) 2003-03-13 2003-03-13 半導体装置と半導体装置の製造方法

Country Status (4)

Country Link
US (1) US7256145B2 (ja)
JP (1) JP4051063B2 (ja)
AU (1) AU2003221382A1 (ja)
WO (1) WO2004082011A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006339371A (ja) * 2005-06-01 2006-12-14 Toshiba Corp 半導体装置の製造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005078787A1 (ja) * 2004-02-16 2005-08-25 Sharp Kabushiki Kaisha 薄膜トランジスタとその製造方法、表示装置、酸化膜の改質方法、酸化膜の形成方法、半導体装置、半導体装置の製造方法、および半導体装置の製造装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
JP2002057155A (ja) * 2000-08-08 2002-02-22 Fujitsu Ltd 5酸化タンタル膜の製造方法
JP2003008011A (ja) * 2001-06-21 2003-01-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001274378A (ja) 2000-03-28 2001-10-05 Mitsubishi Electric Corp 半導体装置
KR100368311B1 (ko) 2000-06-27 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법
JP4801248B2 (ja) 2000-10-31 2011-10-26 アプライド マテリアルズ インコーポレイテッド 酸化膜形成方法及び装置
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002299607A (ja) 2001-03-28 2002-10-11 Toshiba Corp Mis型電界効果トランジスタ及びこれの製造方法
JP2002367990A (ja) 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
JP2003017686A (ja) 2001-06-29 2003-01-17 Hitachi Ltd 半導体装置とその製造方法
SG152910A1 (en) * 2001-12-07 2009-06-29 Tokyo Electron Ltd Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
JP4102072B2 (ja) * 2002-01-08 2008-06-18 株式会社東芝 半導体装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
JP2002057155A (ja) * 2000-08-08 2002-02-22 Fujitsu Ltd 5酸化タンタル膜の製造方法
JP2003008011A (ja) * 2001-06-21 2003-01-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006339371A (ja) * 2005-06-01 2006-12-14 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
JP4051063B2 (ja) 2008-02-20
US20050136658A1 (en) 2005-06-23
US7256145B2 (en) 2007-08-14
JPWO2004082011A1 (ja) 2006-06-15
AU2003221382A1 (en) 2004-09-30

Similar Documents

Publication Publication Date Title
CN100468648C (zh) 高k金属氧化物的原子层沉积
JP4340830B2 (ja) 半導体装置のゲート絶縁膜形成方法
KR100568448B1 (ko) 감소된 불순물을 갖는 고유전막의 제조방법
JP5611189B2 (ja) 高誘電率膜を製造するための方法
KR20090071503A (ko) 절연막의 형성방법
KR101078498B1 (ko) 절연체 박막의 제조 방법
US7605436B2 (en) Manufacture of semiconductor device having insulation film of high dielectric constant
JPWO2003019643A1 (ja) 高誘電率絶縁膜を有する半導体装置とその製造方法
US8927438B2 (en) Methods for manufacturing high dielectric constant films
US6479404B1 (en) Process for fabricating a semiconductor device having a metal oxide or a metal silicate gate dielectric layer
US20070190768A1 (en) Manufacturing method of semiconductor device
US8633119B2 (en) Methods for manufacturing high dielectric constant films
US20100164064A1 (en) Capacitor and Method for Manufacturing the Same
US8633114B2 (en) Methods for manufacturing high dielectric constant films
WO2004082011A1 (ja) 半導体装置と半導体装置の製造方法
CN102509734A (zh) 一种利用ald制备锗基mos电容的方法
JP4643902B2 (ja) 半導体装置とその製造方法
JP4858898B2 (ja) 半導体装置とその製造方法
KR100702027B1 (ko) 반도체 장치와 반도체 장치의 제조 방법
JP2007073637A (ja) 成膜方法および半導体装置の製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 11050832

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 2004569357

Country of ref document: JP

122 Ep: pct application non-entry in european phase