WO2004082008A1 - Cvd装置及びcvd装置のクリーニング方法 - Google Patents

Cvd装置及びcvd装置のクリーニング方法 Download PDF

Info

Publication number
WO2004082008A1
WO2004082008A1 PCT/JP2004/003258 JP2004003258W WO2004082008A1 WO 2004082008 A1 WO2004082008 A1 WO 2004082008A1 JP 2004003258 W JP2004003258 W JP 2004003258W WO 2004082008 A1 WO2004082008 A1 WO 2004082008A1
Authority
WO
WIPO (PCT)
Prior art keywords
electrode
cleaning
cvd
gas
cvd apparatus
Prior art date
Application number
PCT/JP2004/003258
Other languages
English (en)
French (fr)
Other versions
WO2004082008A8 (ja
Inventor
Etsuo Wani
Katsuo Sakai
Seiji Okura
Masaji Sakamura
Kaoru Abe
Hitoshi Murata
Kenji Kameda
Original Assignee
Research Institute Of Innovative Technology For The Earth
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Research Institute Of Innovative Technology For The Earth filed Critical Research Institute Of Innovative Technology For The Earth
Priority to EP04720167.8A priority Critical patent/EP1612857B1/en
Priority to US10/548,873 priority patent/US20060201533A1/en
Publication of WO2004082008A1 publication Critical patent/WO2004082008A1/ja
Publication of WO2004082008A8 publication Critical patent/WO2004082008A8/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Definitions

  • the present invention relates to a semiconductor high-quality silicon oxide on the surface at a uniform substrate (S i 0 2), such as a silicon wafer, of forming a thin film such as silicon nitride (such as S fields i 3 N 4) Gakukisho
  • a uniform substrate such as a silicon wafer
  • a thin film such as silicon nitride (such as S fields i 3 N 4) Gakukisho
  • the present invention relates to a vapor deposition (CVD) apparatus.
  • a CVD apparatus capable of performing cleaning to remove by-products attached to the inner wall of the CVD chamber after the thin film formation processing, a cleaning method of the CVD apparatus using the same
  • the present invention relates to a CVD apparatus capable of reducing the amount of by-products attached thereto, and a film forming method using the CVD apparatus.
  • silicon oxide (S I_ ⁇ 2) a thin film such as silicon nitride (such as S i 3N4), a semiconductor element such as a thin film transistor, such as the photoelectric conversion elements are widely used.
  • the following three methods are mainly used for forming such thin films of silicon oxide and silicon nitride.
  • the plasma CVD apparatus 100 used for this plasma CVD method is generally configured as shown in FIG.
  • the plasma CVD apparatus 100 includes a CVD chamber 102 maintained at a reduced pressure, and an upper electrode 104 and a lower electrode 106 are arranged in the CVD chamber 102 so as to face each other with a certain distance therebetween.
  • the upper electrode 104 is connected to a film forming gas supply path 108 connected to a film forming gas source (not shown), and supplies the film forming gas into the CVD chamber 102 through the upper electrode 104. It is configured to
  • a high frequency applying device 110 for applying a high frequency is connected to the CVD champer 102 near the upper electrode 104. Further, an exhaust path 114 for exhausting exhaust gas via a pump 112 is connected to the CVD chamber 102.
  • the emission (S i 0 2) is monosilane (S i H 4), N 2 ⁇ , N 2, Rei_2, the A r like, a silicon nitride (such as S i 3 N 4) formed
  • monosilane (SiH4), NH 3 , N 2 , O 2 , Ar, etc. are reduced to, for example, 130 Pa through the film forming gas supply path 108 and the upper electrode 104. It is introduced into the CVD chamber 102 kept in a state.
  • a high-frequency electric power of, for example, 13.56 MHz is applied between the electrodes 104 and 106 disposed opposite to each other in the CVD chamber 102 via the high-frequency application device 110 to generate a high-frequency electric field. generate. Then, electrons collide with neutral molecules of the film-forming gas in the electric field to form high-frequency plasma, and the film-forming gas is decomposed into ion radicals.
  • a silicon thin film is formed on the surface of a semiconductor product W such as a silicon wafer installed on the lower electrode 106 which is one of the electrodes.
  • the discharge in the CVD chamber 102 causes the inner wall of the CVD chamber 102 other than the semiconductor product W to be formed, the surface of the electrode, etc. also, the thin film material such as S i 0 2, S i 3 N 4 is deposited, the deposited by-products are formed.
  • this by-product grows to a certain thickness, it peels off due to its own weight or stress, and during the film forming process, it becomes a foreign matter, causing fine particles to be mixed into semiconductor products and causing contamination, resulting in high quality.
  • the thin film could not be manufactured, which could cause disconnection or short circuit of the semiconductor circuit, and also reduced the yield.
  • a cleaning gas as disclosed in Japanese Patent Application Laid-Open No. 9-169504, as shown in FIG. instead of film forming gas, CF 4, C 2 F 6 , a cleaning gas consisting of fluorine-containing compound such as COF 2, while entrained in the gas, such as ⁇ 2 and Z or a r, the film forming gas supply path 1 08, is introduced into the CVD chamber 102 kept under reduced pressure through the upper electrode 104.
  • a high-frequency electric power is applied between the electrodes 104 and 106 disposed opposite to each other in the CVD chamber 102 through the high-frequency application device 110 to generate a high-frequency electric field. In the electric field, electrons collide with neutral molecules of the cleaning gas to form high-frequency plasma, and the cleaning gas is decomposed into ions and radicals.
  • Japanese Patent Application Laid-Open No. 2002-343787 discloses that a lower electrode is moved closer to and away from an upper electrode so that high-density plasma can be generated during film forming processing and cleaning. It is configured so that it can be moved by a moving mechanism so that a narrow space is created between the upper electrode and the lower electrode in close proximity to it for plasma generation and plasma processing. I have to.
  • the present invention provides a method of forming Walls, attached to the surface such as an electrode, the deposited S i 0 2, by-products such as S i 3 N 4, can be efficient well removed, moreover, the upper electrode, the counter electrode stage (lower electrode)
  • An object of the present invention is to provide a CVD apparatus capable of performing high-quality thin film production while performing cleaning with little damage to the apparatus, and a method of cleaning a CVD apparatus using the same.
  • the present invention can reduce the amount of S i 0 2, by-products such as S i 3 N 4 inner wall of the CVD chamber one, attached to the surface such as an electrode, is deposited upon the film forming process
  • a CVD apparatus capable of shortening the cleaning time at the time of cleaning and reducing the emission amount of a gas having a high global warming potential, and a film forming method using a CVD apparatus.
  • the purpose is to: DISCLOSURE OF THE INVENTION
  • the present invention has been invented in order to achieve the problems and objects in the prior art as described above, and the CVD apparatus of the present invention has an RF electrode for applying RF into a CVD chamber and an RF electrode for applying RF. What is claimed is: 1.
  • a CVD apparatus having a counter electrode stage on which a substrate on which a deposition film is formed can be placed facing the CVD apparatus.
  • a cleaning gas is introduced to perform plasma cleaning inside the CVD chamber.
  • the RF frequency applied to the RF electrode is configured to be able to switch between a first frequency applied for film formation and a second frequency applied for plasma cleaning.
  • the cleaning method of the CVD apparatus of the present invention includes the steps of placing an RF electrode for applying RF and a substrate on which a deposition film is formed in the CVD chamber.
  • a CVD device with a counter electrode stage that can
  • a method for cleaning a CVD apparatus comprising: introducing a cleaning gas after forming a deposited film on a substrate surface; and performing plasma cleaning inside the CVD chamber.
  • the frequency of the RF applied to the RF electrode switches between a first frequency applied for film formation and a second frequency applied for plasma cleaning.
  • the frequency of the RF applied to the RF electrode can be switched between the first frequency and the second frequency applied for film formation. Therefore, high-density plasma can be generated under suitable conditions, and a high-quality thin film can be manufactured.
  • high-density plasma when performing plasma cleaning, by switching to the second frequency, high-density plasma can be generated under conditions suitable for plasma cleaning. with adhesion to a surface such as the S i 0 2, by-products such as S i 3 N 4 which deposited efficiently it away in the removal.
  • the CVD apparatus of the present invention is a CVD apparatus having an RF electrode for applying RF and a counter electrode stage in which a substrate on which a deposited film is formed can be placed in the CVD chamber.
  • a cleaning gas is introduced to perform plasma cleaning inside the CVD chamber.
  • a second step of applying RF of the second frequency to perform plasma cleaning is performed. And is characterized by having a gap.
  • the cleaning method of the CVD apparatus of the present invention is a method for cleaning a CVD apparatus having an RF electrode to which F is applied and a counter electrode stage on which a substrate on which a deposited film is formed can be placed.
  • a method of cleaning a CVD apparatus comprising forming a deposited film on a substrate surface, introducing a cleaning gas, and plasma-cleaning the inside of the CVD chamber.
  • a second step of applying RF of a first frequency to the RF electrode to perform plasma cleaning and a second step of applying RF of a second frequency to perform plasma cleaning.
  • the RF electrode is applied to the RF electrode in the first step.
  • a relatively low frequency RF as the frequency of []
  • cleaning is performed with the deposited film still to be cleaned, and the effect of damage is kept small.
  • the inner wall of CVD Champa one during the film forming process, with adhesion to a surface such as the electrode, the deposited S i 0 2, S i 3 by-products such as N 4 roughly be removed.
  • a relatively high frequency RF is applied as a second frequency to the RF electrode, whereby the remaining by-products are removed. Can be completely removed.
  • a relatively high frequency is used, damage to the chamber itself can be reduced.
  • the plasma cleaning in the second step can be performed in a short time.
  • damage to the upper electrode and the counter electrode stage can be reduced.
  • the electrode interval is changed in the first step and the second step.
  • the electrode interval between the first step and the second step in the first step, for example, by narrowing the gap between the electrodes, high-density plasma is generated, and By-products attached to the upper electrode, the counter electrode, and the side wall above the CV D chamber can be removed.
  • the CVD apparatus of the present invention is a CVD apparatus having an RF electrode for applying RF and a counter electrode stage in which a substrate on which a deposition film is formed can be placed in the CVD chamber.
  • a cleaning step activated by the remote plasma is introduced into the side and back electrodes of the upper and lower electrodes of the CVD chamber, and one wall of the CVD chamber, and a second step of performing the tallying is provided.
  • the cleaning method of the CVD apparatus of the present invention is directed to a CVD apparatus having an RF electrode for applying RF and a counter electrode stage on which a substrate on which a deposited film is formed can be placed facing the RF electrode.
  • a method of cleaning a CVD apparatus comprising: forming a deposited film on a substrate surface; and introducing a cleaning gas to perform plasma cleaning inside the CVD chamber.
  • a second step of introducing the cleaning gas activated by the remote plasma to the upper and lower electrode side surfaces and the rear surface of the CVD chamber, and one wall surface of the CVD chamber, and performing tallying is provided.
  • plasma cleaning is performed using a parallel plate electrode, so that by-products attached to the upper electrode, the counter electrode, and the side wall above the CVD chamber can be removed. it can.
  • the cleaning gas activated by the remote plasma is introduced into the upper and lower electrode side surfaces and the back surface of the CVD chamber, and into the wall of the CVD chamber.
  • upper and lower electrode sides, the back side is supplied to the CVD Champa one wall surface, by-products such as S i 0 2, S i 3 N 4 adhered thereto, can be removed efficiently.
  • the cleaning gas activated by the remote plasma is introduced into the CVD chamber, and the plasma is not excited between the upper electrode and the counter electrode. Damage to the upper electrode and the counter electrode stage can be reduced.
  • the CVD apparatus of the present invention is a CVD apparatus having an RF electrode for applying RF and a counter electrode stage on which a substrate on which a deposited film is formed can be placed in the CVD chamber.
  • a cleaning gas is introduced to When plasma cleaning the inside of Yanbar,
  • RF is applied to a second RF electrode provided separately from the RF electrode to discharge electricity, and plasma cleaning is performed on the RF electrode, the side surface and the rear surface of the counter electrode stage, and the side wall of the CVD chamber. And a second step.
  • the cleaning method of the CVD apparatus of the present invention is directed to a CVD apparatus having an RF electrode for applying RF and a counter electrode stage on which a substrate on which a deposited film is formed can be placed in the CVD chamber.
  • a method of cleaning a CVD apparatus comprising: forming a deposited film on a substrate surface; and introducing a cleaning gas to plasma-clean the inside of the CVD chamber.
  • RF is applied to a second RF electrode provided separately from the RF electrode to discharge the same, and the RF electrode, the side surface and the rear surface of the counter electrode stage, and the side wall of the CVD chamber are plasma-cleaned. And a second step of performing the following.
  • a second RF electrode provided on the side wall of the CVD chamber;
  • the side and the back of the counter electrode stage and one side wall of the CVD chamber can be plasma-tuninged.
  • the second frequency is 60 MHz
  • the first frequency is 13.56 MHz.
  • the first step involves applying the first electrode to the RF electrode.
  • a relatively low frequency of 13.56 MHz RF high-density plasma is generated under the condition that damage to the counter electrode stage is small, the inner wall of the CVD chamber one, attached to the surface such as an electrode, the deposited the S i 0 2, by-products such as S i 3 N 4 roughly be removed.
  • the second step is to apply a relatively high frequency RF of 6 OMHz to the RF electrode as the second frequency.
  • RF 6 OMHz
  • a mixed gas of COF 2 and O 2 is used as the cleaning gas.
  • an F 2 gas, a mixed gas of F 2 and O 2, a mixed gas of F 2 and Ar, or a mixed gas of F 2 and N 2 is used as the cleaning gas. It is characterized by using.
  • F 2 gas or a mixed gas of F 2 and ⁇ 2 or a mixed gas of F 2 and Ar or by that you use a mixed gas of F 2 and N 2
  • processes such as liquid crystals that do not like the incorporation of carbon corrosion of CVD equipment can be reduced, and the generation of greenhouse gases in exhaust gas generated by plasma cleaning can be extremely reduced.
  • the CVD apparatus of the present invention is as follows.
  • a CVD apparatus having an electrode for applying 1 in a chamber, and an electrode stage on which an electrode and a substrate facing the electrode to form a deposited film can be placed.
  • An infrared absorption analyzer for analyzing an exhaust gas component disposed in a gas discharge path for discharging exhaust gas from the CVD chamber;
  • a film formation condition control device A film formation condition control device
  • the film forming condition control device
  • the film is formed by changing film forming conditions such as a temperature of the counter electrode stage and an electrode interval between the RF electrode and the counter electrode stage. Line,
  • Exhaust gas components are monitored by the infrared absorption analyzer (FTIR),
  • the optimum conditions of the film forming conditions such as the temperature of the counter electrode stage and the electrode interval between the RF electrode and the counter electrode stage are determined.
  • a film forming method using a CVD apparatus is a CVD apparatus having an RF electrode for applying RF and a counter electrode stage on which a substrate on which a deposited film is formed can be placed in a CVD chamber.
  • a film formation condition control device A film formation condition control device
  • the film is formed by changing film forming conditions such as a temperature of the counter electrode stage and an electrode interval between the RF electrode and the counter electrode stage.
  • Exhaust gas components are monitored by the infrared absorption analyzer (FTIR),
  • the optimum conditions of the film forming conditions such as the temperature of the counter electrode stage and the electrode interval between the RF electrode and the counter electrode stage are determined.
  • the film is formed under these optimum conditions.
  • the specified exhaust gas is monitored.
  • component a predetermined concentration or less, for example, by the emission of S i F 4 exceeds the 1 OO p pm, the cleaning proceeds, to compare the emissions until the following re Pi 1 00 p pm, by-products It is possible to obtain the optimum conditions of the film formation conditions with a small amount of adhesion and deposition.
  • the inner wall of CVD Chi Yanba during the film forming process adhere to the surface such as an electrode, S i 0 2, by-products such as S i 3 N 4 is deposited
  • the cleaning time during cleaning can be reduced, and the emission of gas with a high global warming potential can be reduced.
  • the temperature of the counter electrode stage under the above-mentioned optimal conditions is 250 to 400 ° C, preferably 350 ° C.
  • the CVD chamber one upon as Narumakue inner wall, attached to a surface such as an electrode, such as S i 0 2, S i 3 N 4 is deposited
  • the amount of by-products is very low.
  • FIG. 1 is a schematic view showing an embodiment of the CVD apparatus of the present invention.
  • FIG. 2 is a schematic view of another embodiment of the CVD apparatus of the present invention.
  • FIG. 3 is a schematic view of another embodiment of the CVD apparatus of the present invention.
  • FIG. 4 is a schematic view of another embodiment of the CVD apparatus of the present invention.
  • FIG. 5 is a graph showing the relationship between time and concentration (the concentration of Si F4).
  • Figure 6 is a Dara off showing the relationship between the emissions of the lower electrode temperature ⁇ Pi electrode spacing and S i F 4.
  • FIG. 7 is a graph showing the corrosion layer depth (damage depth) when a high frequency of 6 OMHz is applied using a mixed gas of C 2 F 6 and O 2 .
  • Figure 10 uses a gas mixture of CO F 2 and Rei_2 is a graph showing 1 3. in the case of applying a high frequency of 56 MHz corrosion layer depth (damage depth).
  • FIG. 11 is a schematic view showing a plasma CVD apparatus used in a conventional plasma CVD method.
  • FIG. 12 is a schematic diagram showing the state of by-products adhered and deposited in a CVD chamber in a plasma CVD apparatus used in a conventional plasma CVD method.
  • BEST MODE FOR CARRYING OUT THE INVENTION FIG. 1 is a schematic diagram showing an example of a CVD apparatus of the present invention.
  • the plasma CVD apparatus 10 used for the plasma CVD method includes a CVD chamber 112 maintained in a reduced pressure state (vacuum state), and a bottom wall 12 c of the CV D champer 12.
  • a constant vacuum is generated by discharging the internal gas to the outside by the mechanical booster pump 11, dry pump 14, and detoxification device 13 that detoxifies exhaust gas through the exhaust path 16 formed in State (reduced pressure state).
  • a lower stage for mounting a substrate A for depositing (including vapor deposition) a silicon thin film on the surface of a silicon wafer or the like.
  • Electrodes 18 are arranged.
  • the lower electrode 18 penetrates the bottom wall 12c of the CVD chamber 12 and is configured to be vertically slidable by a driving mechanism (not shown), so that the position can be adjusted.
  • a seal member such as a seal ring is provided in a movable portion between the lower electrode 18 and the bottom wall 12c in order to secure a degree of vacuum in the CVD chamber 12. I have.
  • the upper electrode 20 is provided with a high-frequency application device 25 such as a high-frequency application coil, and a matching circuit (not shown) is provided between the high-frequency application device 25 and the high-frequency power supply 24. I have. Thereby, the high frequency generated by the high frequency power supply 24 can be transmitted to the high frequency applying device 25 such as a high frequency applying coil without loss.
  • a reaction gas supply path 26 is formed in the upper electrode 20, and a reaction gas supply source 28 is formed from the film formation gas supply source 28 through the reaction gas supply path 26 and the upper electrode 20.
  • the gas is configured to be introduced into the CVD chamber 112 maintained at a reduced pressure.
  • the cleaning gas supply path 30 is branched and connected to the reaction gas supply path 26, and the cleaning gas from the cleaning gas source 34 is supplied to the reaction gas supply path 26 via the cleaning gas supply path 30. It can be introduced into the chambers 1 and 2.
  • 52, 54, and 56 indicate on-off valves.
  • the CVD device 10 of the present invention configured as described above is operated as follows. First, a substrate A for depositing a silicon thin film on a surface of, for example, a silicon wafer is placed on the stage of the lower electrode 18 of the CVD chamber 112, and the upper electrode is driven by a driving mechanism (not shown). The distance to 20 is adjusted to a predetermined distance. Then, the internal gas is exhausted to the outside via a dry pump 14 through an exhaust path 16 formed in the bottom wall 12 c of the CVD chamber 12, so that a certain vacuum state (decompression) is obtained. State) For example, a reduced pressure state of 10 to 2000 Pa is maintained.
  • the on-off valve 52 provided in the reaction gas supply path 26 is opened, and the film formation gas supply source 28 is formed through the reaction gas supply path 26 and the upper electrode 20 to form the film formation film.
  • a working gas is introduced into the CVD chamber 112 maintained at a reduced pressure.
  • the on-off valve 52 provided on the reaction gas supply path 26 and the on-off valve 54 provided on the exhaust path 16 are opened, and are provided on the cleaning gas supply path 30.
  • the open / close valve 56 is closed.
  • the film forming gas supplied from the film forming gas supply source 28 for example, when forming silicon oxide (S i 0 2 ), monosilane (S i H 4 ), When depositing N 20 , N 2 , O 2 , Ar, etc. on silicon nitride (such as Si 3 N 4 ), Monosilane (S i H 4), NH 3, N 2, yo be supplied O2 and A r les.
  • the film-forming gas is not limited to this, but may be, for example, disilane (Si 2 H 6 ), TE ⁇ , etc., depending on the type of thin film to be formed.
  • S (tetraethoxysilane; S i (OC 2H5) 4 ) , etc., as carrier gas, can be appropriately changed, such as using such ⁇ 2, 0 3.
  • the high frequency generated by the high frequency power supply 24 is used to generate a high frequency electric field on the upper electrode 20 from a high frequency application device 25 such as a high frequency application coil, and the electrons are converted into neutral molecules in the film forming gas in the electric field.
  • the collision causes high-frequency plasma to be formed, and the film-forming gas is decomposed into ions and radicals.
  • a silicon thin film is formed on the surface of a substrate A such as a silicon wafer placed on the lower electrode 18 by the action of ions or radicals.
  • the inner surface of the CVD chamber 12 other than the substrate A on which the film is to be formed and the surfaces of the electrodes and the like are formed by the discharge in the CVD chamber 12.
  • thin film materials such as S ⁇ O 2 and Si 3 N 4 adhere and deposit to form by-products.
  • this by-product grows to a certain thickness, it separates and scatters due to its own weight, stress, etc., and as a foreign substance during the film forming process, it causes fine particles to be mixed into semiconductor products and causes contamination.
  • High-quality thin films cannot be manufactured, which may cause disconnection or short-circuit of the semiconductor circuit, and also reduce the yield.
  • a fluorine-based cleaning gas containing a fluorine-containing compound that is, a cleaning gas from the cleaning gas source 34 is supplied to the CVD chamber via the cleaning gas supply path 30. Introduced within 1 and 2.
  • the thin film is disposed in the reaction gas supply path 26.
  • the provided on-off valve 52 is closed, and the supply of the film forming gas from the film forming gas supply source 28 into the CVD chamber 12 is stopped.
  • the on-off valve 56 provided in the cleaning gas supply path 30 is opened, and the cleaning gas from the cleaning gas source 34 is supplied to the CVD chamber 1 2 via the cleaning gas supply path 30. Introduce within.
  • the high frequency power generated by the high frequency power supply 24 is used to generate a high frequency electric field from the high frequency application device 25 such as a high frequency application coil to the upper electrode 20 to form a high frequency plasma and the cleaning gas is decomposed into ions and radicals.
  • ion Ya Raj cull is, the inner wall of the CVD chamber one 1 2, attached to the surface such as an electrode, and reacts with by-products such as S i 0 2, S i 3 N 4 which deposited as S i F 4 By-products are to be gasified.
  • gasified by-products detoxify the mechanical booster pump 11, the dry pump 14, and the exhaust gas via the exhaust path 16 formed in the bottom wall 12 c of the CVD chamber 12.
  • the abatement device 13 discharges the internal gas to the outside.
  • the configuration is such that the first frequency applied for the above-described film formation and the second frequency applied for performing the plasma cleaning can be switched.
  • the frequency of RF applied to the RF electrode can be switched between the first frequency applied for film formation and the second frequency applied for plasma cleaning.
  • the first frequency high-density plasma can be generated under conditions suitable for film formation, and a high-quality thin film can be manufactured.
  • a first step of applying RF of a first frequency to the RF electrode to perform plasma cleaning, and then applying a RF of a second frequency to perform plasma cleaning It is desirable to have a second step to do this.
  • the first frequency applied at the time of plasma cleaning is 13.56 MHz high frequency power
  • the second frequency applied at the time of plasma cleaning is 6 OMHz. desirable.
  • the RF electrode is applied to the RF electrode in the first step.
  • a frequency of 1 an RF of a relatively low frequency, for example, 13.56 MHz is applied.
  • the deposited film remains on the counter electrode, the inner wall of the CVD chamber, and the like. Therefore, high-density plasma was generated under conditions where there was little damage to the counter electrode stage, etc., and Sio was deposited and deposited on the inner wall of the CVD chamber, the surface of the electrodes, etc. during the deposition process. 2.
  • Si 3 N 4 can be roughly removed.
  • a relatively high frequency for example, 60 MHz RF is applied to the RF electrode as the second frequency. This makes it possible to completely remove residual by-products under conditions of low corrosion.
  • the plasma cleaning in the second step can be performed in a short time.
  • damage to the upper electrode and the counter electrode stage can be reduced.
  • the first step and the second step are characterized in that the electrode interval between the lower electrode 18 and the upper electrode 20 is changed.
  • the electrode interval between the first step and the second step in the first step, for example, by narrowing the gap between the electrodes, high-density plasma is generated, and By-products attached to the upper electrode, the counter electrode, and the upper sidewall of the CV D chamber can be removed.
  • the second step for example, by widening the gap between the electrodes compared to the first step, the upper and lower electrode side surfaces, the back surface, and the CVD chamber wall surface of the CVD chamber are widely cleaned to remove by-products. can do.
  • the electrode interval d in the first step, is preferably 5 to 50 mm, more preferably 8 to 20 mm, and in the second step, the electrode interval d is preferably Is preferably 10 to 100 mm, more preferably 20 to 6 Omm.
  • the fluorine-based cleaning gas containing a fluorine compound used for the cleaning process for example,
  • Alicyclic perfluorocarbons such as C 4 F 8 , C 5 F 10 and C 6 F 12 ;
  • Linear Pafuruoroe ethers such as CF 3 OC F 3, C F3OC2F5 , C 2 F 5 OC2F 5;
  • oxygen-containing perfluorocarbons such as C ⁇ F 2 , C F3COF and CF 3 ⁇ F
  • nitrogen-containing fluorine compounds such as NF 3 , FNO, F 3 NO and FNO 2, preferably oxygen and nitrogen A fluorine compound or the like can be used.
  • These fluorinated compounds may be fluorinated compounds containing at least one fluorine atom in which part of the fluorine atoms has been replaced by hydrogen atoms.
  • CF 4 , C 2 F 6 , C 3 F 8 , and COF 2 are preferably used, and CF 4 , C 2 F 6 , and COF 2 are more preferably used.
  • fluorine-containing compounds can be used alone or in combination of two or more.
  • the cleaning gas containing the fluorine-containing compound used in the present invention can be used by appropriately mixing other gases as long as the effects of the present invention are not impaired.
  • gases such as this, for example, H e, N e, A r, 0 2 and like et be.
  • the total amount of such other gases is not particularly limited, and the amount, thickness, and fluorine content of by-products (adhered matter) adhered to the inner wall of the CV D chamber 112 of the CVD apparatus 10 are used. It can be determined according to the type of compound, the composition of by-products, and the like.
  • a fluorine gas (F 2 ) can be used in addition to the fluorine-based cleaning gas containing a fluorine compound.
  • an appropriate amount of additive gas such as oxygen or argon is mixed and used together with the cleaning gas.
  • the etching rate tends to increase as the concentration of the cleaning gas is increased under the condition that the total gas flow is constant.
  • concentration of the cleaning gas exceeds a certain level, there are problems such as instability of plasma generation, slowing down and lowering of the etching rate, and deterioration of cleaning uniformity.
  • the cleaning gas is used at a concentration of 100%, the generation of plasma becomes unstable, the etching speed becomes slower or lower, and the uniformity of the cleaning tends to become more remarkable. There is a problem.
  • the cleaning conditions are optimized by increasing the chamber pressure during cleaning or by increasing the gas flow rate.
  • the pressure in the chamber during cleaning is increased or the gas flow rate is increased, the generation of plasma becomes unstable, the uniformity of tallening is impaired, and efficient tarrying cannot be performed. Become.
  • fluorine gas or a mixed gas of fluorine gas and a gas that does not substantially react with fluorine in plasma is used as a cleaning gas, plus and minus treatment can be performed, and an extremely excellent etching rate can be obtained.
  • plasma can be stably generated even under the condition that the total gas flow rate is about 100 sccm and the chamber pressure is about 400 Pa, and good cleaning uniformity is obtained. Can be secured.
  • Fluorine gas is used as such a cleaning gas, 1 0 0 A capacity 0/0 of full Tsu-containing gas, the fluorine gas for generating the plasma is Nozomu by discharge Good.
  • the cleaning gas may be composed of a fluorine gas that generates plasma by electric discharge and a gas that does not substantially react with fluorine in the plasma.
  • the gas that does not substantially react with fluorine in the plasma is preferably at least one selected from the group consisting of nitrogen, oxygen, carbon dioxide, N 20 , dry air, argon, helium, and neon.
  • fluorine in the gas that does not substantially react with fluorine includes a fluorine molecule, a fluorine atom, a fluorine radical, a fluorine ion, and the like.
  • target compound for chamber cleaning with such a fluorine-based compound include a deposit made of a silicon-based compound that has adhered to a wall of a CVD champ or a jig of a CVDD device by a CVD method or the like.
  • silicon compound deposits include:
  • a compound consisting of silicon (2) a compound comprising at least one of oxygen, nitrogen, fluorine or carbon and silicon, or
  • the flow rate of the cleaning gas introduced into the CVD chamber 12 is 0.1 to 10 LZ, considering the effect of cleaning the by-product adhering to the inner wall of the chamber 112. Preferably, it is 0.5 to 1 LZ. That is, if the flow rate of the cleaning gas introduced into the CVD chamber 12 is less than 0.1 L / min, the above cleaning effect cannot be expected. Conversely, if the flow rate of the cleaning gas is more than 10 L / min, This is because the amount of the cleaning gas discharged to the outside without contributing to the cleaning is increased.
  • the introduction flow rate can be appropriately changed depending on the type and size of the substrate A such as a flat panel disk.
  • the pressure of the cleaning gas in the CVD chamber 12 is set to 10 to 2000 Pa, preferably 50 to 2000 Pa in consideration of the above-described effect of cleaning the by-product adhered to the inner wall of the chamber 12. It is desirable to set it to 500 Pa. That is, if the pressure of the cleaning gas in the CVD chamber 112 is smaller than 1 OPa or, conversely, the pressure in the CVD chamber 112 is larger than 2000 Pa, This is because the Jung effect cannot be expected.
  • the pressure in the CVD chamber 12 can be changed as appropriate depending on the type and size of the substrate A, such as a flat panel disk. In one example, for example, a fluorine-containing compound, in the case of C2F 6 is 1 00-5 00 Pa may be used.
  • the mixed gas of such COF 2 and 0 2 as the first chestnut twelve Ngugasu in the first step, for example, the number of moles of the whole when the 100%, C OF 2 50% to 98%
  • the second step for example, when the total number of moles is set to 100%, cleaning is performed with a mixed gas having a COF2 of 40% to 90%. Is desirable.
  • a cleaning gas F2 gas or a mixed gas of F 2 and 0 2, or a mixed gas of F 2 and Ar, or is preferred to use a mixed gas of F 2 and N 2 is there.
  • the mixed gas of F 2 and Ar is the first clearing gas in the first step.
  • the F 2 is 30% to 100%.
  • mixing the cleaning gas, the second Tali one in the second step - as Ngugasu, for example, the number of moles of the total is 100%, and to clean in F 2 force s 20% to 100% of the mixed gas desirable.
  • FIG. 2 is a schematic view showing another embodiment of the CVD apparatus of the present invention.
  • the CVD apparatus 10 of this embodiment has basically the same configuration as the CVD apparatus 10 shown in FIG. 1, and the same components are denoted by the same reference numerals, and detailed description thereof is omitted. I do.
  • a remote plasma generator 60 for converting a fluorine-based cleaning gas containing a fluorine-containing compound into plasma is provided on the side of the CVD chamber 12.
  • the cleaning gas converted into plasma by the remote plasma generator 60 is configured to be introduced into the side wall 12 b of the CVD chamber 12 via a connection pipe 62 constituting a gas introduction path. .
  • the remote plasma generator 60 turned the fluorine-based cleaning gas containing the fluorine-containing compound into plasma, and was maintained in a reduced pressure state via the connection pipe 62. It is being introduced into CVD chambers 12.
  • the remote plasma generator 60 high-frequency plasma is formed to decompose the cleaning gas into ions and radicals, and the ions and radicals are converted into C and C.
  • the pump 14 discharges the exhaust gas to the outside of the CVD chamber 12 through the exhaust path 16 together with the exhaust gas.
  • the first step plasma cleaning is performed using a parallel plate electrode, so that the sub-electrode attached to the upper side wall of the upper electrode 20, the counter electrode 18, and the CVD champers 12 is used. Creatures can be removed.
  • the cleaning gas activated by the remote plasma generator 60 is introduced into the upper and lower electrode side surfaces and the back surface of the CVD chamber 112 and the one wall surface of the CVD chamber, so that the dissociation efficiency of the cleaning gas is improved.
  • the upper and lower electrode sides of the CVD chamber one, the back surface, the by-products such as S i 0 2, S i 3 N 4 adhered to the CVD chamber one wall surface can be removed efficiently.
  • the cleaning gas activated by the remote plasma is introduced into the CVD chamber, so that the plasma is not excited between the upper electrode 20 and the counter electrode 18.
  • damage to the upper electrode and the counter electrode stage can be reduced.
  • the distance between the remote plasma generator 60 and the CVD chamber 12, that is, the length L of the connection pipe 62 is 0 to 200 cm, preferably 0 to 100 cm, More preferably, it is desirable to be 0 to 50 cm. That is, if the length L is larger than 200 cm, the cleaning gas in the form of plasma comes into contact with and collides with the wall of the connection pipe 62, and the efficiency of gasifying by-products decreases. It is. The shorter the length L, the better.
  • the length L may be appropriately determined according to the type and size of the substrate A.
  • the material of the connection pipe 62 is not particularly limited, but in consideration of the above-described effect of preventing the gasification efficiency from being lowered, for example, alumina, passivated aluminum, fluororesin, It is desirable to use a metal coated with a fluorine resin.
  • the plasma gas is introduced into the remote plasma generator 60 and the CVD chamber 112 from the side wall 12 b of the chamber via the connection pipe 62.
  • the present invention is not limited to this, and the cleaning gas may be directly introduced into the CVD chamber 112, for example, from the top wall 12a of the chamber 12 to the bottom wall. It may be introduced from 12 c to directly clean one wall of the chamber.
  • a known remote plasma generator may be used, and is not particularly limited.
  • "ASTRON" manufactured by AS TEX
  • FIG. 3 is a schematic view showing another embodiment of the CVD apparatus of the present invention.
  • the CVD apparatus 10 of this embodiment has basically the same configuration as the CVD apparatus 10 shown in FIG. 1, and the same components are denoted by the same reference numerals, and detailed description thereof is omitted. I do.
  • a separate second RF electrode 21 is provided on the side wall 12 b of the CVD chamber 12, separately from the upper electrode 20.
  • the second RF electrode 21 is connected to a high-frequency power supply 23.
  • the second RF electrode 21 is provided with a high-frequency applying device 27 such as a high-frequency applying coil, and a matching circuit (not shown) is provided between the high-frequency applying device 27 and the high-frequency power supply 23. It is arranged.
  • a first step of applying RF to the upper electrode 20 to perform plasma cleaning, and then applying RF to the second RF electrode 21 provided separately from the upper electrode 20 to discharge It is preferable to have a second step of plasma cleaning the upper electrode 20, the side and back surfaces of the counter electrode stage 18, and one side wall of the CVD chamber.
  • RF is applied to a second RF electrode provided on the side wall of the CVD chamber and provided separately from the upper electrode, and discharge is performed.
  • Plasma cleaning can be performed on the side, back, and side walls of the CVD champer.
  • FIG. 4 is a schematic view showing another embodiment of the CVD apparatus of the present invention.
  • the CVD apparatus 10 of this embodiment has basically the same configuration as the CVD apparatus 10 shown in FIG. 1, and the same components are denoted by the same reference numerals, and detailed description thereof will be omitted. I do.
  • the exhaust gas 16 is a gas exhaust path, and the exhaust gas is provided between the dry pump and the abatement apparatus 13 downstream of the dry pump 14.
  • Infrared absorption analyzer FT IR
  • Fourier Transform Infrared Spectrometry 50 /
  • the concentration of S i F 4 in the exhaust gas from the CVD chamber 112 is equal to or less than the predetermined time T 4. Below a certain level Q1.
  • the infrared absorption analyzer 50 and monitoring the concentration data of S i F 4 in flue gas from the CVD chamber one 1 2, in the cleaning control device 6 0, the S i F4 previously stored concentration Compared to the data, At the time T4 when the cleaning end point concentration Ql is reached, the cleaning is controlled to end.
  • the CVD chamber one 1 2 during cleaning the inner wall, such as an electrode surface, and attached in a pipe or the like of the gas discharge passage, the deposited S I_ ⁇ such 2, S i 3 N 4 Since the concentration of gasified SiF4 produced by reacting with the by-product is directly monitored, the cleaning can be completed at a time when the cleaning is completed accurately.
  • the concentration of the cleaning end point depends on the size of the CVD chamber 12 of the CVD apparatus 10, but it adheres and accumulates on the inner wall of the CVD chamber 12, the surface of the electrodes, etc., and the piping of the gas discharge path.
  • S i 0 2, S i 3 N without by-products such as remains 4, in order to completely remove the by-product is desirably a 1 00 ppm.
  • the cleaning end point concentration is, if l OO p pm, the concentration of S i F 4 in the exhaust gas from the CVD Chiya members 1 2, the inner wall of the CVD chamber one 1 2, not only the surface of such electrode, attached in a pipe or the like of the gas discharge path, corresponds to a concentration capable completely remove the by-products such as S i 0 2, S i 3 N 4 deposited.
  • the cleaning end point concentration to be 100 p ⁇
  • the cleaning can be completed at the time T4 (in this embodiment, 117 seconds later) at which the cleaning is accurately completed.
  • T4 in this embodiment, 117 seconds later
  • the infrared absorption analyzer (FTIR) 50 is not particularly limited, and for example, “GMS-1000” manufactured by MIDAC can be used.
  • the infrared absorption analyzer (FT IR) 50 by monitoring the exhaust gas ingredient in, exceeds a predetermined exhaust gas component, a predetermined concentration or less, for example, emissions of S i F 4 is the 100 ppm, chestnut one Engg By comparing the amount of emission until the amount becomes 100 ppm or less again, it is possible to obtain the optimum condition of the film formation condition with a small amount of by-products attached and deposited.
  • FT IR infrared absorption analyzer
  • Such optimum condition data in the cleaning controller 60 is input to the film forming condition controller 70 as shown in FIG. 4, and the optimum condition data is controlled by the film forming condition controller 70. , A film is formed.
  • the temperature of the counter electrode stage 18 under the optimum conditions is 250 to 400 ° C., preferably 350 ° C.
  • Si 0 2 , Si 3 N 4, etc. adhere and deposit on the inner wall of the CVD chamber 12, electrodes and the like during the film forming process. Is extremely small.
  • the electrode interval between the RF electrode 20 and the counter electrode stage 18 under the optimum condition is 8 to 30 mm, preferably 17 mm. It is desirable that
  • the above-mentioned film forming conditions are not limited to the film forming conditions such as the temperature of the counter electrode stage 18 and the electrode interval between the RF electrode 20 and the counter electrode stage 18. Parameters include gas flow rate, pressure, RF power, RF frequency, etc.
  • the corroded layer depth was determined by multiplying the sputtering time up to A170% or more by 13 nm / min (sputter rate of SiO 2). .
  • FIG. 7 (a mixed gas of C 2 F 6 and Rei_2: No. 1, 60 MHz), 8 (a mixed gas of C 2 F 6 and Rei_2: N o. 2, 1 3. 56MHz), a mixed gas of FIG. 9 (COF 2 and O 2:. N o 3, 60 MH z), Fig: 10 (mixed gas of COF 2 and 0 2:. N o 4, 1 3 56MHz).
  • the depth of the corroded layer (damage depth) at 13.56 MHz is deeper than at 60 MHz.
  • the film formation was performed while keeping the above conditions constant.
  • the film formation was performed by changing the lower electrode temperature to 300 ° C and 350 ° C, and changing the electrode interval to 1 ° mm and 17 mm, respectively.
  • cleaning of the CVD chambers 112 was performed under the following cleaning conditions.
  • FTIR infrared absorption analyzer
  • the film formation is performed by changing the film formation conditions such as the temperature of the counter electrode stage 18 and the electrode interval between the RF electrode 20 and the counter electrode stage 18 and cleaning.
  • a predetermined gas component, predetermined concentration or less, for example, emissions of S i F 4 exceeds the 1 00 ppm, chestnut
  • the temperature of the counter electrode stage 18 under the optimum conditions is 250 to 400 ° C., preferably 350 ° C.
  • the electrode interval between the RF electrode 20 and the counter electrode stage 18 under the optimum conditions is 8 to 30 mm, and preferably 17 mm.
  • the horizontal type apparatus has been described.
  • it is also possible to change to a vertical type apparatus and in the above embodiment, a single-wafer type apparatus has been described. It is also applicable to patch type CVD equipment.
  • the frequency of RF applied to the RF electrode can be switched between the first frequency and the second frequency applied for film formation, the first frequency is used. Accordingly, high-density plasma can be generated under conditions suitable for film formation, and high-quality thin film production can be performed.
  • high-density plasma can be generated under conditions suitable for plasma cleaning, and the inner wall of the CVD chamber can be used during the film formation process. , with adhesion to a surface such as the electrode, the S i 0 2, by-products such as S i 3 N 4 which deposited, in be removed efficiently ⁇ Ru.
  • the first frequency is applied to the RF electrode in the first step.
  • RF radio frequency
  • a relatively high frequency RF is applied to the RF electrode as the second frequency, so that the remaining residue is removed.
  • By-products can be completely removed.
  • high-density plasma is generated in the first step by, for example, narrowing the gap between the electrodes by changing the electrode interval between the first step and the second step.
  • by-products attached to the upper electrode, the counter electrode, and the upper side wall of the CVD chamber can be removed.
  • the gap between the electrodes is made wider than in the first step, thereby cleaning the upper and lower electrode side surfaces, the back surface, and the CVI] one wall surface of the CVD chamber to remove by-products. Can be removed.
  • plasma cleaning is performed using parallel plate electrodes, so that by-products attached to the upper electrode, the counter electrode, and the upper sidewall of the CVD chamber are removed. Can be.
  • the cleaning gas activated by the remote plasma is introduced into the upper and lower electrode side surfaces and the back surface of the CVD chamber, and the wall surface of the CVD chamber.
  • top and bottom electrode side, back side, by-products such as S i 0 2, S i 3 N 4 adhered to the CVD chamber one wall surface, can be removed efficiently.
  • the cleaning gas activated by the remote plasma is introduced into the CVD chamber, and the plasma is not excited between the upper electrode and the counter electrode. Damage to the external electrode and the counter electrode stage can be reduced.
  • the upper electrode, the counter electrode, By-products attached to the pole and the upper sidewall of the CVD champer can be removed.
  • RF is applied to a second RF electrode provided on the side wall of the CVD chamber and provided separately from the RF electrode and is discharged. Plasma cleaning can be performed on the side, back, and one side wall of the CVD chamber.
  • the first frequency is applied to the RF electrode.
  • RF at a relatively low frequency of 13.56 MHz, high-density plasma is generated in the condition range where damage to the counter electrode stage is small, and CV is applied during the film formation process.
  • D chamber one interior wall, attached to a surface such as an electrode, the deposited S i 0 2, S i 3 N 4 by-products such as roughly be removed.
  • a relatively high frequency of 60 MHz RF is applied to the RF electrode as the second frequency, so that the deposition is performed.
  • the remaining by-products can be completely removed.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

C VD装匿及び CVD装置のクリーニング方法
技術分野 明
本発明は、 シリコンウェハなどの半導体用基材の表面に均一で高品質の酸化 シリコン (S i 02)、 窒化シリコン (S田 i 3N4など) などの薄膜を形成する化 学気相蒸着 (CVD(c h em i c a l a p o r d e p o s i t i o n)) 装置に関する。
より詳細には、 薄膜形成処理後の CVDチャンバ一の内壁などに付着した副 生成物を除去するためのクリーニングを実施することのできる CVD装置、 お ょぴそれを用いた CVD装置のクリーニング方法、 ならびに、 副生物の付着量 を低減することのできる CVD装置、 および C V D装置を用レ、た成膜方法に関 する。
背景技術
従来より、 酸化シリコン (S i〇2)、 窒化シリコン (S i 3N4など) などの 薄膜は、 薄膜トランジスタなどの半導体素子、 光電変換素子などに広範に用い られている。 このような酸化シリコン、 窒化シリコンなどの薄膜を形成する方 法には主に次の 3種類が用いられている。
(1) スパッタ、 真空蒸着等の物理的気相成膜法 すなわち、 固体の薄膜材料を物理的手法である原子あるいは原子団にし、 被 成膜面上に堆積させて薄膜を形成する方法
(2) 熱 CVD法
すなわち、 気体の薄膜材料を高温にすることにより、 化学反応を起こさせて 薄膜を形成する方法
(3) プラズマ CVD法
すなわち、 気体の薄膜材料をプラズマ化させることで化学反応を起こさせて 薄膜を形成する方法
特に、 (3) のプラズマ CVD法(p 1 a s ma e nh a n c e d c h e m i c a l v a o u r d e p o s i t i o n) 力 Λ、 徽密で均一な麵旲を 効率的に形成することができるために広範に用いられるようになつている (特 開平 9一 69504号公報、 特開 2002— 343787号公報参照)。
このプラズマ CVD法に用いるプラズマ CVD装置 100は、 一般的には、 図 1 1に示したように構成されている。
すなわち、 プラズマ CVD装置 100は、 減圧に維持された C V Dチャンバ 一 102を備えており、 CVDチャンバ一 102内に一定間隔離間して対向す るように上部電極 104と下部電極 106が配置されている。 この上部電極 1 04には、 図示しない成膜用ガス源に接続された成膜用ガス供給経路 1 08が 接続され、 上部電極 104を介して、 成膜用ガスを CVDチャンバ一 102内 に供給するように構成されている。
また、 CVDチャンパ一 102には、 上部電極 104の近傍に、 高周波を印 加する高周波印加装置 1 10が接続されている。 さらに、 CVDチャンバ一 1 02には、 ポンプ 1 12を介して排気ガスを排気する排気経路 1 14が接続さ れている。
このように構成されるプラズマ CVD装置 100では、 例えば、 酸^ f匕シリコ ン (S i 02) を成膜する際には、 モノシラン (S i H4)、 N2〇、 N2、 〇2、 A r等を、 窒化シリコン (S i3N4など) を成膜する際には、 モノシラン (S i H4)、 NH3、 N2、 02、 Ar等を、 成膜用ガス供給経路 108、 上部電極 1 0 4を介して、 例えば、 130 P aの減圧状態に維持された CVDチャンバ一 1 02内に導入される。
この際、 高周波印加装置 1 10を介して、 CVDチャンバ一 102内に対向 して配置された電極 104、 106間に、 例えば、 1 3. 56 MH zの高周波 電力を印加して、 高周波電界を発生させる。 そして、 この電界内で電子を成膜 用ガスの中性分子に衝突させて、 高周波ブラズマを形成して成膜用ガスがィォ ンゃラジカルに分解される。
そして、 イオンやラジカルの作用によって、 一方の電極である下部電極 1 0 6に設置されたシリコンゥヱハなどの半導体製品 Wの表面にシリコン薄膜を形 成するように構成されている。
ところで、 このようなプラズマ CVD装置 100では、 成膜工程の際に、 C VDチャンバ一 102内の放電によって、 成膜すべき半導体製品 W以外の CV Dチャンバ一 102の内壁、 電極などの表面にも、 S i 02、 S i3N4などの薄 膜材料が付着、 堆積して副生成物が形成される。
この副生成物が、 一定の厚さまで成長すると自重や応力などによつて剥離し て、 これが成膜工程の際に、 異物として、 半導体製品への微粒子の混入、 汚染 の原因となり、 高品質な薄膜製造ができず、 半導体回路の断線や短絡の原因と なり、 また、 歩留まりなども低下するおそれがあった。
このため、 従来より、 プラズマ CVD装置 100では、 成膜工程が終了した 後に、 このような副生成物を随時除去するために、 例えば、 CF4、 C2F6、 C O F 2などの含フッ素化合物と、 必要に応じ 02などを加えたタリーニングガス を用いて、 副生成物を除去することが行われている (特開平 9一 69 504号 公報参照)。
すなわち、 特開平 9一 69504号公報のようなクリーニングガスを用いた 従来のプラズマ CVD装置 1 00のクリーニング方法では、 図 1 1に示したよ うに、 成膜工程が終了した後に、 成膜時の成膜用ガスの代わりに、 CF4、 C2 F6、 COF2などの含フッ素化合物からなるクリーニングガスを、 〇2および Z または A rなどのガスに同伴させて、 成膜用ガス供給経路 1 08、 上部電極 1 04を介して、減圧状態に維持された CVDチャンバ一 102内に導入される。 成膜時と同様に、 高周波印加装置 1 10を介して、 CVDチャンバ一 102 内に対向して配置された電極 104、 106間に高周波電力を印加して、 高周 波電界を発生させて、 この電界内で電子をクリ一二ングガスの中性分子に衝突 させて、 高周波プラズマを形成してクリーニングガスがイオンやラジカルに分 解される。
そして、 イオンやラジカルが、 CVDチャンバ一 102の内壁、 電極などの 表面に付着、 堆積した S i〇2、 S i 3N4などの副生成物と反応して、 S i F4 として副生成物をガス化することによって、 ポンプ 1 1 2により排気ガスとと もに排気経路 1 14を介して、 CVDチャンパ一 102の外部に排出されるよ うになっている。
また、 特開 2002— 343787号公報は、 成膜処理とクリ一ニングを行 う際に、 高密度のプラズマを発生させることができるようにするために、 下部 電極を上部電極に対して接近離反できるように移動機構により移動可能な構成 とし、 上部電極とこれに接近させた状態の下部電極との間に、 狭空間としてプ ラズマ生成およぴプラズマ処理の狭!/、空間を形成するようにしている。
そして、 この特開 2002— 343787号公報では、 狭空間として形成さ れるプラズマ生成およびプラズマ処理の空間に対してチャンバ一の内面が露出 していると、 この露出面に膜が付着し易くなり、 クリーニングが面倒となり、 クリーニングの効率が低下することになるので、 これを防止するために、 成膜
;一の上部電極の主面から所定距離まで絶縁体リングで被うようにして、 )広がりを抑制し、 成膜チャンバ一内における内面への膜付着量を低 減するようにしている。
ところで、 成膜工程を行った後の C V Dチャンバ一 1 0 2の内部では、 S i 〇2、 S i 3N4などの副生成物は、 図 1 2に示したように、 上部電極 1 0 4の下 面 1 0 4 a、 C V Dチャンパ一 1 0 2の側壁 1 0 2 a、 下部電極 1 0 6の周囲 部分 1 0 6 aに多く付着 '堆積している。
しかしながら、 このようなクリ一ユング方法では、 半導体製品 Wを搬出した 後には、 下部電極 1 0 6の表面 1 0 6 bが露出しており、 この下部電極 1 0 6 の表面がクリ一二ングガスのイオンやプラズマに長時間曝露されることになる。 これによつて、 下部電極 1 0 6の表面の腐食が進行して、 下部電極 1 0 6が 損傷することになり、その結果、 C V D装置自体の機能を損なうことにもなる。 一方、 半導体装置製造工程において、 プラズマ C V Dによる成膜を行った際 に、 C V Dチャンバ内に付着した副生物をクリーニングするが、 クリーニング に使用するガスは地球温暖化係数が高く、 完全に分解されないため、 そのまま の形で放出されると、 地球温暖化の原因となる。
このため、プラズマ C V Dから放出される地球温暖化ガス量を低減するには、 幾つかの方法が考えられる。 例えば、 地球温暖化係数の小さいガスを使用する 方法や、 除害設備の導入もその方法であるが、 ガスの変更や除害設備の導入に は、 その研究やコスト的な面で検討が必要となる。
本発明は、 このような実状に鑑みて、 成膜工程の際に C V Dチャンパ一の内 壁、 電極などの表面に付着、 堆積した S i 02、 S i 3N4などの副生成物を、 効 率良く除去することができ、 しかも、 上部電極、 対向電極ステージ (下部電極) へのダメージも少ないクリーニングを実施することができるとともに、 高品質 な薄膜製造が可能な CVD装置、 およびそれを用いた CVD装置のクリーニン グ方法を提供することを目的とする。
また、 本発明は、 成膜工程の際に CVDチャンバ一の内壁、 電極などの表面 に付着、堆積する S i 02、 S i 3N4などの副生成物の量を低減することができ、 その結果、 クリーニングの際にクリーニング時間を短縮することが可能で、 地 球温暖化係数の高いガスの放出量を減らことのできる C VD装置、 および C V D装置を用いた成膜方法を提供することを目的とする。 発明の開示 本発明は、 前述したような従来技術における課題及び目的を達成するために 発明されたものであって、 本発明の CVD装置は、 CVDチャンバ一内に RF を印加する R F電極とそれに対向し堆積膜を形成する基板を载置することので きる対向電極ステージを有する CVD装置であって、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする際に、
前記 RF電極に印加する RFの周波数が、 成膜のために印加する第 1の周波 数と、 プラズマクリーニングする際に印加する第 2の周波数とを切替えること ができるように構成されていることを特徴とする。
また、 本発明の CVD装置のクリーニング方法は、 CVDチャンバ一内に R Fを印加する R F電極とそれに対向し堆積膜を形成する基板を載置することの できる対向電極ステージを有する CVD装置において、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする CVD装置のクリーニング方法であつ て、
前記 RF電極に印加する RFの周波数が、 成膜のために印加する第 1の周波 数と、 プラズマクリーニングする際に印加する第 2の周波数とを切替えること を特徴とする。
このように、 RF電極に印加する RFの周波数が、 成膜のために印加する第 1の周波数と、 第 2の周波数とを切替えることができるので、 第 1の周波数を 用いることによって、 成膜のために好適な条件で高密度のプラズマを発生させ ることができ高品質な薄膜製造が可能である。
しかも、 プラズマクリーニングする際には、 第 2の周波数に切り替えること によって、 プラズマクリーニングに好適な条件で高密度のプラズマを発生させ ることができ、 成膜工程の際に CVDチャンバ一の内壁、 電極などの表面に付 着、 堆積した S i 02、 S i3N4などの副生成物を、 効率良く除去することがで さる。
また、 本発明の CVD装置は、 C VDチャンバ一内に RFを印加する RF電 極とそれに対向し堆積膜を形成する基板を载置することのできる対向電極ステ ージを有する CVD装置であって、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする際に、
前記 R F電極に第 1の周波数の R Fを印加してプラズマクリーニングする第 1のステップと、
次いで第 2の周波数の RFを印加してプラズマクリーニングする第 2のステ ップとを有するように構成されていることを特徴とする。
また、 本発明の C V D装置のクリーニング方法は、 C V Dチャンバ一内に; Fを印加する R F電極とそれに対向し堆積膜を形成する基板を載置することの できる対向電極ステージを有する C V D装置において、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して C V Dチ ヤンバー内をプラズマクリーユングする C V D装置のクリー-ング方法であつ て、
前記 R F電極に第 1の周波数の R Fを印加してプラズマクリーユングする第 次レ、で第 2の周波数の R Fを印加してプラズマク V—二ングする第 2のステ ップとを有することを特徴とする。
このように構成することによって、 基板表面上に堆積膜を形成した後に、 ク リ一ニングガスを導入して C V Dチャンバ一內をプラズマクリ一ユングする際 に、 第 1のステップにおいて、 R F電極に第 ].の周波数として、 比較的低い周 波数の R Fを印加することによって、 まだクリーニングすべき堆積膜が残って いる状態でクリーニングし、 ダメージの影響を小さく保つようになつている。 これによつて、 成膜工程の際に C V Dチャンパ一の内壁、 電極などの表面に付 着、 堆積した S i 02、 S i 3N4などの副生成物をおおよそ除去できる。
そして、 この第 1のステップでこれらの副生物をおおよそ除去した後、 第 2 のステップにおいて、 R F電極に第 2の周波数として、 比較的高い周波数の R Fを印加することによって、付着残りの副生物を完全に除去することができる。 また、 比較的高い周波数を利用するために、 チャンバ一自体へのダメージを小 さくすることができる。
しかも、 この第 2ステップにおけるプラズマクリーニングを短時間で行うこ とによって、 上部電極、 対向電極ステージへのダメージを軽減することができ る。
また、 本発明では、 前記第 1のステップと第 2のステップで電極間隔を変化 させることを特徴とする。
このように、 第 1のステップと第 2のステップで電極間隔を変化させること によって、 第 1のステップにおいて、 例えば、 電極間の間隙を狭くすることに よって、 高密度のプラズマを発生させるとともに、 上部電極、 対向電極、 CV Dチヤンバーの上方の側壁に付着した副生物を除去することができる。
そして、 第 2のステップにおいて、 例えば、 上記第 1のステップよりも電極 間の間隙を広くすることによって、 CVDチャンバ一の上下電極側面、 裏面、 CVDチャンバ一壁面をクリーユングして、 副生物を除去することができる。 また、 本発明の CVD装置は、 CVDチャンバ一内に RFを印加する RF電 極とそれに対向し堆積膜を形成する基板を载置することのできる対向電極ステ ージを有する CVD装置であって、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリーユングする際に、
前記 R F電極に R Fを印加して、 プラズマクリーニングを行う第 1のステツ プと、
次いで、 リモートプラズマにより活性化されたクリーユングガスを CVDチ ヤンバーの上下電極側面、 裏面、 CVDチャンバ一壁面に導入し、 タリーニン グする第 2のステップとを有するように構成されていることを特徴とする。 また、 本発明の CVD装置のクリーニング方法は、 CVDチャンパ一内に R Fを印加する R F電極とそれに対向し堆積膜を形成する基板を載置することの できる対向電極ステージを有する CVD装置において、 基板表面上に堆積膜を形成した後に、 クリーユングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする CVD装置のクリーニング方法であつ て、
前記 RF電極に RFを印加して、 プラズマクリーニングを行う第 1のステツ プと、
次いで、 リモートプラズマにより活性化されたクリーユングガスを CVDチ ヤンバーの上下電極側面、 裏面、 CVDチャンバ一壁面に導入し、 タリーニン グする第 2のステップとを有することを特徴とする。
このように構成することによって、 第 1のステップでは、 平行平板電極を用 いて、 プラズマクリーニングを行うので、 上部電極、 対向電極、 CVDチャン バーの上方の側壁に付着した副生物を除去することができる。
そして、 第 2のステップでは、 リモートプラズマにより活性化されたクリー ニングガスを CVDチャンバ一の上下電極側面、 裏面、 CVDチャンバ一壁面 に導入するので、 ブラズマが回り込みなどではなく直接、 C VDチャンパ一の 上下電極側面、 裏面、 CVDチャンパ一壁面に供給され、 そこに付着した S i 02、 S i 3N4などの副生成物を、 効率良く除去することができる。
しかも、 この第 2ステツプにおけるプラズマクリーニングでは、 リモートプ ラズマにより活性化されたクリーユングガスを CVDチャンバ一に導入するの であって、 上部電極、 対向電極の間でプラズマが励起するのではないので、 上 部電極、 対向電極ステージへのダメージを軽減することができる。
また、 本発明の CVD装置は、 CVDチャンバ一内に RFを印加する RF電 極とそれに対向し堆積膜を形成する基板を載置することのできる対向電極ステ ージを有する CVD装置であって、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする際に、
前記 RF電極に RFを印加して、 プラズマクリーニングを行う第 1のステツ プと、
次いで、 前記 R F電極とは別箇に設けた第 2の R F電極に R Fを印加して放 電し、 前記 RF電極、 前記対向電極ステージの側面、 裏面、 前記 CVDチャン バー側壁をプラズマクリーユングする第 2のステップとを有するように構成さ れていることを特徴とする。
また、 本発明の CVD装置のクリーニング方法は、 CVDチャンバ一内に R Fを印加する R F電極とそれに対向し堆積膜を形成する基板を载置することの できる対向電極ステージを有する C VD装置において、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリ一二ングする C VD装置のクリーニング方法であつ て、
前記 R F電極に R Fを印加して、 プラズマクリーニングを行う第 1のステツ プと、
次いで、 前記 R F電極とは別箇に設けた第 2の R F電極に R Fを印加して放 電し、 前記 RF電極、 前記対向電極ステージの側面、 裏面、 前記 CVDチャン バー側壁をプラズマクリ一ユングする第 2のステップとを有することを特徴と する。
このように構成することによって、 第 1のステップでは、 主として、 上部電 極、 対向電極、 CVDチャンパ一の上方の側壁に付着した副生物を除去するこ とができる。
そして、 第 2のステップでは、 RF電極とは別箇に、 例えば、 CVDチャン バーの側壁に設けた第 2の R F電極に; Fを印加して放電するので、 R F電極、 対向電極ステージの側面、 裏面、 CVDチャンバ一側壁をプラズマタリーニン グすることができる。
しかも、 この場合、 RF電極と対向電極との間の放電ではないので、 上部電 極、 対向電極ステージへのダメージを軽減することができる。
また、 本発明では、 前記第 2の周波数が、 60MHzであり、 第 1の周波数 、 1 3. 56MH zであるのが望ましい。
このように構成することによって、 基板表面上に堆積膜を形成した後に、 ク リーユングガスを導入して CVDチャンパ一内をプラズマクリ一二ングする際 に、 第 1のステップにおいて、 RF電極に第 1の周波数として、 比較的低い周 波数である 1 3. 56MH zの RFを印加することによって、 対向電極ステー ジへのダメージが少ない条件で、 高密度のプラズマを発生して、 成膜工程の際 に CVDチャンバ一の内壁、 電極などの表面に付着、 堆積した S i 02、 S i 3 N4などの副生成物をおおよそ除去できる。
そして、 この第 1のステップでこれらの副生物をおおよそ除去した後、 第 2 のステップにおいて、 RF電極に第 2の周波数として、 比較的高い周波数であ る 6 OMH zの RFを印加することによって、 付着残りの副生物を完全に除去 することができる。
また、 本発明では、 前記クリーニングガスとして、 COF2と 02の混合ガスを 使用することを特徴とする。
このように、 クリーニングガスとして、 COF2と 02の混合ガスを使用するこ とによって、 CVD装置の腐蝕を低減するとともに、 プラズマクリーニングで 発生する排気ガス中の温暖化ガスの発生を最小限に抑制することができる。 また、 本発明では、 前記クリーニングガスとして、 F2ガス、 または、 F2と O 2の混合ガス、 または、 F2と Arの混合ガス、 または、 F2と N2の混合ガスを使 用することを特徴とする。
このように、 クリーニングガスとして、 F2ガス、 または、 F2と〇2の混合ガ ス、 または、 F2と Arの混合ガス、 または、 F2と N2の混合ガスを使用するこ とによって、 炭素の混入を嫌う液晶などのプロセスにおいても、 CVD装置の 腐蝕を低減するとともに、 プラズマクリ一二ングで発生する排気ガス中の温暖 化ガスの発生を極端に少なくすることができる。
また、 本発明の CVD装置は、 。 0チャンバー内に1 を印加する1 電 極とそれに対向し堆積膜を形成する基板を載置することのできる対向電極ステ ージを有する CVD装置であって、
前記 C V Dチヤンバーから排ガスを排出するガス排出経路に配設した排ガス 成分を分析する赤外線吸収分析器 (FT I R) と、
成膜条件制御装置とを備え、
前記成膜条件制御装置が、
前記 CVD装置によって、 基材表面上に堆積膜を形成する際に、 前記対向電 極ステージの温度、 前記 RF電極と対向電極ステージとの間の電極間隔などの 成膜条件を変化させて成膜を行レ、、
前記 CVD装置によって、 基材表面上に堆積膜を形成した後に、 クリーニン グガスを導入して CVDチャンバ一内をクリーユングする際に、
前記赤外線吸収分析器 (FT I R) によって、 排ガス成分をモニターリング して、
所定の排ガス成分が、 所定の濃度以下になるまでの排出量を比較して、 前記 対向電極ステージの温度、 前記 R F電極と対向電極ステージとの間の電極間隔 などの成膜条件の最適条件を得て、
この最適条件にて、 成膜を実施するように制御するように構成されているこ とを特敫とする。
また、 本発明の CVD装置を用いた成膜方法は、 CVDチャンバ一内に RF を印加する R F電極とそれに対向し堆積膜を形成する基板を载置することので きる対向電極ステージを有する C V D装置を用いた成膜方法であって、 前記 CVDチャンバ一から排ガスを排出するガス排出経路に配設した排ガス 成分を分析する赤外線吸収分析器 (FT I R) と、
成膜条件制御装置とを備え、
前記成膜条件制御装置によって、
前記 CVD装置によって、 基材表面上に堆積膜を形成する際に、 前記対向電 極ステージの温度、 前記 RF電極と対向電極ステージとの間の電極間隔などの 成膜条件を変化させて成膜を行い、
前記 CVD装置によって、 基材表面上に堆積膜を形成した後に、 クリーニン グガスを導入して CVDチャンバ一内をクリーニングする際に、
前記赤外線吸収分析器 (FT I R) によって、 排ガス成分をモニターリング して、
所定の排ガス成分が、 所定の濃度以下になるまでの排出量を比較して、 前記 対向電極ステージの温度、 前記 R F電極と対向電極ステージとの間の電極間隔 などの成膜条件の最適条件を得て、
この最適条件にて、 成膜を実施することを特徴とする。
このように構成することによって、 例えば、 S i 02の成膜を行った際に、 S i 02膜はクリーニングされる時に、 S i F4として排出されるので、赤外線吸収 分析器 (FT I R) でモニタされた S i F4の排出量が、 CVDチャンバ一内に 付着した副生物である膜の量と見なすことができる。
従って、 成膜の際に、 例えば、 対向電極ステージの温度、 RF電極と対向電 極ステージとの間の電極間隔などの成膜条件を変化させて成膜を行い、 タリー ニングする際に、 赤外線吸収分析器 (FT I R) で排ガス成分をモニタ一リン グして、 所定の排ガス成分が、 所定の濃度以下、 例えば、 S i F4の排出量が 1 O O p pmを越え、 クリーニングが進み、 再ぴ 1 00 p pm以下となるまでの 排出量を比較することによって、 副生物の付着、 堆積量の少ない成膜条件の最 適条件を得ることができる。
この最適条件にて、 成膜を実施することによって、 成膜工程の際に CVDチ ヤンバーの内壁、 電極などの表面に付着、 堆積する S i 02、 S i3N4などの副 生成物の量を低減することができ、 その結果、 クリーニングの際にクリーニン グ時間を短縮することが可能で、 地球温暖化係数の高いガスの放出量を減らこ とができる。
また、 本発明では、 前記最適条件における対向電極ステージの温度が、 25 0〜400°C、 好ましくは、 350°Cであるのが望ましい。
このような温度に対向電極ステージの温度を設定することによって、 成膜ェ 程の際に CVDチャンバ一の内壁、 電極などの表面に付着、 堆積する S i 02、 S i 3N4などの副生成物の量が極めて少なくなる。
また、 本発明では、 前記最適条件における RF電極と対向電極ステージと の間の電極間隔が、 8〜3 Omm、好ましくは、 1 7 mmであるのが望ましい。 このような大きさに RF電極と対向電極ステージとの間の電極間隔を設定す ることによって、 成膜工程の際に CVDチャンバ一の内壁、 電極などの表面に 付着、 堆積する S i 02、 S i3N4などの副生成物の量が極めて少なくなる。 図面の簡単な説明 図 1は、 本発明の CVD装置の実施例を示す概略図である。
図 2は、 本発明の C V D装置の別の実施例の概略図である。
図 3は、 本発明の CVD装置の別の実施例の概略図である。
図 4は、 本発明の C V D装置の別の実施例の概略図である。
図 5は、 時間一濃度 (S i F4の濃度) の関係を示すグラフである。
図 6は、 下部電極温度及ぴ電極間隔と S i F4の排出量との関係を示すダラ フである。
図 7は、 C2F6と 02の混合ガスを用いて、 6 OMH zの高周波を印加した場 合の腐食層深さ (ダメージ深度) を示すグラフである。
図 8は、 C2F6と 02の混合ガスを用いて、 1 3. 56MHzの高周波を印加 した場合の腐食層深さ (ダメージ深度) を示すグラフである。
図 9は、 CO F2と〇2の混合ガスを用いて、 6 OMH zの高周波を印加した 場合の腐食層深さ (ダメージ深度) を示すグラフである。
図 10は、 CO F2と〇2の混合ガスを用いて、 1 3. 56 MHzの高周波を 印加した場合の腐食層深さ (ダメージ深度) を示すグラフである。
図 1 1は、 従来のプラズマ CVD法に用いるプラズマ CVD装置示す概略図 である。
図 1 2は、 従来のプラズマ CVD法に用いるプラズマ CVD装置における C VDチャンバ一内に付着、 堆積した副生成物の状態を示す概略図である。 発明を実施するための最良の形態 以下、本発明の実施の形態(実施例)を図面に基づいてより詳細に説明する ( 図 1は、 本発明の CVD装置の実施例を示す概略図である。 図 1に示したように、プラズマ CVD法に用いるプラズマ CVD装置 10は、 減圧状態 (真空状態) に維持される CVDチャンバ一 1 2を備えており、 CV Dチャンパ一 1 2の底壁 12 cに形成された排気経路 16を介して、 メカ二力 ルブースターポンプ 1 1、 ドライポンプ 14、 排気ガスを無毒化する除害装置 13によって、内部の気体を外部に排出することによって、一定の真空状態(減 圧状態) に維持されるようになっている。
また、 CVDチャンバ一 1 2の内部には、 例えば、 シリコンウェハなどの表 面にシリコン薄膜を堆積 (蒸着を含む) する基材 Aを載置するためのステージ (対向電極ステージ) を構成する下部電極 18が配置されている。 この下部電 極 18は、 CVDチャンバ一 12の底壁 12 cを貫通して、 図示しない駆動機 構によって上下に摺動可能に構成され、 位置調整可能となっている。 なお、 図 示しないが、 下部電極 18と底壁 12 cとの間の搢動部分には、 CVDチャン バー 12内の真空度を確保するために、 シールリングなどのシール部材が配設 されている。
一方、 CVDチャンバ一 1 2の上方には、 反応ガス導入装置を構成する RF 電極である上部電極 20が設けられており、 その基端部分 22が、 CVDチヤ ンバー 1 2の頂壁 1 2 aを貫通して、 CVDチャンバ一 1 2外部に設けられた 高周波電源 24に接続されている。 この上部電極 20には、 図示しないが、 高 周波印加コイルなどの高周波印加装置 25が設けられており、 この高周波印加 装置 25と高周波電源 24の間には、 図示しないマッチング回路が配設されて いる。 これにより、 高周波電源 24により発生した高周波を損失なく高周波印 加コイルなどの高周波印加装置 25へ伝播できるようになっている。
また、 上部電極 20には、 反応ガス供給経路 26が形成されており、 成膜用 ガス供給源 28から、 反応ガス供給経路 26、 上部電極 20を介して、 成膜用 ガスが、 減圧状態に維持された C V Dチャンバ一 1 2内に導入されるように構 成されている。
さらに、 反応ガス供給経路 2 6には、 クリ一ユングガス供給経路 3 0が分岐 して接続されており、 クリーニングガス源 3 4からのクリーニングガスを、 ク リーニングガス供給経路 3 0を介して、 C V Dチャンバ一 1 2内に導入するこ とができるようになつている。
なお、 図中、 5 2、 5 4、 5 6は、 開閉バルブを示している。
このように構成される本発明の C V D装置 1 0は、下記のように作動される。 先ず、 C V Dチャンバ一 1 2の下部電極 1 8のステージ上に、 例えば、 シリ コンウェハなどの表面にシリコン薄膜を蒸着する基材 Aを載置して、 図示しな V、駆動機構によって、 上部電極 2 0との間の距離を所定の距離に調整される。 そして、 C V Dチャンバ一 1 2の底壁 1 2 cに形成された排気経路 1 6を介 して、 ドライポンプ 1 4を介して内部の気体を外部に排出することによって、 一定の真空状態 (減圧状態) 例えば、 1 0〜 2 0 0 0 P aの減圧状態に維持さ れる。
そして、 反応ガス供給経路 2 6に配設された開閉バルブ 5 2を開弁して、 成 膜用ガス供給源 2 8から、 反応ガス供給経路 2 6、 上部電極 2 0を介して、 成 膜用ガスが、 減圧状態に維持された C V Dチャンバ一 1 2内に導入される。 この際、 反応ガス供給経路 2 6に配設された開閉バルブ 5 2と、 排気経路 1 6に配設された開閉バルブ 5 4は開弁し、 クリ一二ングガス供給経路 3 0に配 設された開閉バルブ 5 6は閉止されている。
■ この場合、 成膜用ガス供給源 2 8から供給される成膜用ガスとしては、 例え ば、 酸化シリコン (S i 02) を成膜する際には、 モノシラン (S i H4)、 N2 0、 N2、 02、 A r等を、 窒化シリコン (S i 3N4など) を成膜する際には、 モノシラン (S i H4)、 NH3、 N2、 O2および A rを供給すればよレ、。 しかし ながら、 この成膜用ガスとしては、 これに限定されるものではなく、 成膜する 薄膜の種類などに応じて、 例えば、 成膜用ガスとして、 ジシラン (S i 2H6)、 T E〇S (テトラエトキシシラン; S i ( O C 2H5) 4) 等、 同伴ガスとして、 〇2、 03などを使用するなど適宜変更することができる。
そして、 高周波電源 2 4により発生した高周波を高周波印加コイルなどの高 周波印加装置 2 5から上部電極 2 0に高周波電界を発生させて、 この電界内で 電子を成膜用ガスの中性分子に衝突させて、 高周波プラズマを形成して成膜用 ガスがイオンとラジカルに分解される。 そして、 イオンやラジカルの作用によ つて、 下部電極 1 8に設置されたシリコンウェハなどの基材 Aの表面にシリコ ン薄膜を形成する。
ところで、 このような C V D装置 1 0では、 成膜工程の際に、 C V Dチャン バー 1 2内の放電によって、 成膜すべき基材 A以外の C VDチャンバ一 1 2の 内壁、 電極などの表面にも、 S ί 02、 S i 3N4などの薄膜材料が付着、 堆積し て副生成物が形成される。 この副生成物が、 一定の厚さまで成長すると自重、 応力などによって剥離、 飛散して、 これが成膜工程の際に、 異物として、 半導 体製品への微粒子の混入、 汚染の原因となり、 高品質な薄膜製造ができず、 半 導体回路の断線や短絡の原因となり、 また、 歩留まりなども低下するおそれが め 。
このため、 本発明の C V D装置 1 0では、 含フッ素化合物を含んだフッ素系 のクリーニングガス、 すなわち、 クリーニングガス源 3 4からのクリーニング ガスを、 クリーニングガス供給経路 3 0を介して、 C V Dチャンパ一 1 2内に 導入するようになっている。
すなわち、 上記のように薄膜処理が終了した後、 反応ガス供給経路 2 6に配 設された開閉バルブ 5 2を閉止して、 成膜用ガス供給源 2 8からの C V Dチヤ ンバー 1 2内への成膜用ガスの供給を停止する。
そして、 クリ一ユングガス供給経路 3 0に配設された開閉バルブ 5 6を開弁 して、 クリーニングガス源 3 4からのクリーニングガスを、 クリーニングガス 供給経路 3 0を介して、 C V Dチャンバ一 1 2内に導入する。
そして、 高周波電源 2 4により発生した高周波を高周波印加コイルなどの高 周波印加装置 2 5から上部電極 2 0に高周波電界を発生させて、 高周波プラズ マを形成してクリーニングガスがイオンやラジカルに分解され、 イオンゃラジ カルが、 C V Dチャンバ一 1 2の内壁、 電極などの表面に付着、 堆積した S i 02、 S i 3N4などの副生成物と反応して、 S i F 4として副生成物をガス化さ れるようになっている。
そして、 ガス化された副生物が、 C V Dチャンバ一 1 2の底壁 1 2 cに形成 された排気経路 1 6を介して、 メカニカルブースターポンプ 1 1、 ドライポン プ 1 4、 排気ガスを無毒化する除害装置 1 3によって、 内部の気体を外部に排 出するようになっている。
この場合、 上記の成膜のために印加する第 1の周波数と、 プラズマクリ一二 ングする際に印加する第 2の周波数とを切替えることができるように構成され ている。
このように構成することによって、 R F電極に印加する R Fの周波数が、 成 膜のために印加する第 1の周波数と、 プラズマクリーニングする際に印加する 第 2の周波数とを切替えることができるので、 第 1の周波数を用いることによ つて、 成膜のために好適な条件で高密度のプラズマを発生させることができ高 品質な薄膜製造が可能である。
しかも、 プラズマクリーニングする際には、 第 2の周波数に切り替えること によって、 プラズマクリーニングに好適な条件で高密度のプラズマを発生させ ることができ、 成膜工程の際に CVDチャンバ一の内壁、 電極などの表面に付 着、 堆積した S i〇2、 S i 3N4などの副生成物を、 効率良く除去することがで さる。
さらに、 この場合、 プラズマクリーニングする際に、 RF電極に第 1の周波 数の RFを印加してプラズマクリ一二ングする第 1のステップと、 次いで第 2 の周波数の RFを印加してプラズマクリーニングする第 2のステップとを有す るのが望ましい。
すなわち、 この場合、 プラズマクリーニングする際に印加する第 1の周波数 としては、 13. 56MH zの高周波電力であり、 プラズマクリーニングする 際に印加する第 2の周波数としては、 6 OMH zであるのが望ましい。
このように構成することによって、 基板表面上に堆積膜を形成した後に、 ク リ一ユングガスを導入して CVDチャンバ一内をプラズマクリ一ユングする際 に、 第 1のステップにおいて、 RF電極に第 1の周波数として、 比較的低い周 波数である、 例えば、 13. 56MH zの RFを印加する。 この場合、 対向電 極、 CVDチャンパ一内壁などに堆積膜が残っている状態である。 このため、 対向電極ステージなどへのダメ一ジが少ない条件で、 高密度のブラズマを発生 して、 成膜工程の際に CVDチャンバ一の内壁、 電極などの表面に付着、 堆積 した S i 02、 S i 3N4などの副生成物をおおよそ除去できる。
そして、 この第 1のステップでこれらの副生物をおおよそ除去した後、 第 2 のステップにおいて、 RF電極に第 2の周波数として、 比較的高い周波数であ る、 例えば、 60MHzの RFを印加することによって、 腐蝕の少ない条件下 で付着残りの副生物を完全に除去することができる。
しかも、 この第 2ステップにおけるプラズマクリーニングを短時間で行うこ とによって、 上部電極、 対向電極ステージへのダメージを軽減することができ る。
さらに、 この場合、 第 1のステップと第 2のステップで、 下部電極 1 8と上 部電極 2 0との間の電極間隔を変化させることを特徴とする。
このように、 第 1のステップと第 2のステップで電極間隔を変化させること によって、 第 1のステップにおいて、 例えば、 電極間の間隙を狭くすることに よって、 高密度のプラズマを発生させるとともに、 上部電極、 対向電極、 CV Dチャンバ一の上方の側壁に付着した副生物を除去することができる。
そして、 第 2のステップにおいて、 例えば、 上記第 1のステップよりも電極 間の間隙を広くすることによって、 CVDチャンバ一の上下電極側面、 裏面、 C V Dチヤンバー壁面を広くクリーニングして、 副生物を除去することがで-き る。
このような電極間隔としては、 第 1のステップにおいては、 電極間隔 dとし ては、 好ましくは、 5〜50mm、 さらに好ましくは 8〜 20 mm、 第 2のス テツプにおいて、 電極間隔 dとして、 好ましくは、 1 0〜1 00mm、 さらに 好ましくは 20〜 6 Ommとするのが望ましい。
この場合、 クリーニング処理に使用するフッ素化合物を含んだフッ素系のク リ一ユングガスとしては、 例えば、
CF4、 C2F6、 C3F8、 C4F10、 C5F12などの鎖状脂肪族系パーフルォロカー ボン類;
C4F8、 C5F10、 C6F12などの脂環系パーフルォロカーボン類;
CF3OC F3、 C F3OC2F5, C2F5OC2F5などの直鎖状パーフルォロエー テル類;
C3FeO、 C4F80, CsFioOなどの環状パーフルォロエーテル類; C3F6、 C4F8、 C5F10などの不飽和系パーフルォロカーボン類;
C4F6、 C5F 8などのジェン系パーフルォロカーボン類
などの炭素原子数 1〜 6のパーフルォロカーボン類が挙げられる。
また、 C〇F2、 C F3COF, C F3〇Fなどの酸素を含むパーフルォロカー ボン類、 NF3、 FNO、 F3NO、 F N O2などの窒素を含むフッ素化合物、 好 ましくは酸素と窒素を含むフッ素化合物などを用いることもできる。
なお、 これらの含フッ素化合物は、 フッ素原子の一部が水素原子で置き換え られた少なくとも 1個のフッ素原子を含む含フッ素化合物であってもよい。 これらのうちでは、 CF4、 C2F6、 C3F8、 COF2を用いることが好ましく、 CF4、 C2F6、 COF2を用いることがさらに好ましい。
これらの含フッ素化合物は、 1種単独でまたは複数を組み合わせて用いるこ とができる。
また、 本発明で用いる含フッ素化合物を含んだクリーニングガスは、 本発明 の効果を損なわない範囲で、 適宜他のガスを混合して用いることができる。 こ のような他のガスとしては、 たとえば、 H e、 N e、 A r、 02などが挙げら れる。 このような他のガスの酉 B合量は特に限定されず、 CVD装置 1 0の CV Dチャンバ一 12の内壁などに付着した副生成物 (付着物) の量、 厚さ、 使用 する含フッ素化合物の種類、 副生成物の組成などに対応して決定することがで さる。
また、 クリーニング処理に使用するクリーニングガスとしては、 上記のフッ 素化合物を含んだフッ素系のクリーニングガス以外にも、 フッ素ガス (F2) を 用いることができる。
すなわち、 通常、 プラズマクリーニングの際には、 クリーニングガスととも に、 酸素、 アルゴン等の適量の添加ガスを混合して用いている。 ところで、 クリーニングガスと添加ガスとの混合ガス系において、 ガス総流 量一定の条件下に、 クリーニングガスの含有濃度を高めてゆくと、 エッチング 速度が上昇する傾向がある。 しかしながら、 クリーニングガスが一定濃度を超 えるとプラズマの発生の不安定化、エツチング速度の鈍化、低下が起こったり、 クリーニング均一性が悪化したりするなどの問題がある。 特に、 クリーニング ガスを 1 0 0 %の濃度で用いると、 プラズマの発生の不安定化、 エッチング速 度の鈍化、 低下や、 クリーニング均一性の悪化がより顕著となる傾向があり、 実用性に欠けるという問題がある。
このため、 クリーエングガスの濃度をエッチング速度ークリーユングガス濃 度曲線のピークの濃度または、 それら以下の低濃度に希釈して使用する必要が あり、 希釈化に伴うエツチング速度の低下を抑えるためにクリーニング時のチ ヤンバー圧を高める、 もしくはガス流量を増加させて、 クリーニング条件の最 適化がなされている。 しかしながら、 このように、 クリーニング時のチャンバ 一圧を高める、 もしくはガス流量を増加させると、 プラズマの発生が安定しな くなり、 タリーニング均一性が損なわれ、 効率的なタリーニングが行えないこ とになる。
一方、 フッ素ガス、 またはフッ素ガスと、 プラズマ中において実質的にフッ 素と反応しないガスとの混合ガスをクリーニングガスとして用いると、 プラス、 マ処理することができ、 極めて優れたェッチング速度が得られ、 しかも、 ガス 総流量が 1 0 0 0 s c c m程度でチヤンバー圧が 4 0 0 P a程度の条件下にお いても安定してプラズマを発生させることができるとともに、 良好なクリー二 ング均一性が確保できる。
このようなクリーニングガスとして用いるフッ素ガスは、 1 0 0容量0 /0のフ ッ素ガスであって、 放電によりプラズマを発生させるフッ素ガスであるのが望 ましい。
また、 クリーニング用ガスが、 放電によりプラズマを発生させるフッ素ガス と、 プラズマ中において実質的にフッ素と反応しないガスとから構成されてい てもよい。
この場合、 放電によりプラズマを発生させるフッ素ガスの濃度が 2 0容量% を超えて 1 0 0容量%未満の範囲にあり、 前記プラズマ中で実質的にフッ素と 反応しないガスの濃度が 0容量。 /0を超えて 8 0容量%以下の範囲にある (ただ し、 放電によりプラズマを発生させるフッ素ガス +実質的にフッ素と反応しな いガス = 1 0 0容量%) ことが好ましい。
また、 前記放電によりプラズマを発生させるフッ素ガスの濃度が 3 0容量% を超えて 1 0 0容量%未満の範囲にあり、 前記ブラズマ中で実質的にフッ素と 反応しないガスの濃度が 0容量%を超えて 7 0容量%以下の範囲にある (ただ し、 放電によりプラズマを発生させるフッ素ガス +実質的にフッ素と反応しな いガス = 1 0 0容量%) ことがより好ましい。
さらに、 プラズマ中で実質的にフッ素と反応しないガスが、 窒素、 酸素、 二 酸化炭素、 N20、 乾燥空気、 アルゴン、 ヘリウム、 ネオンからなる群から選ば れる少なくとも 1種であることが好ましい。
なお、 この場合、 実質的にフッ素と反応しないガスにおける 「フッ素」 は、 フッ素分子、 フッ素原子、 フッ素ラジカル、 フッ素イオンなどを含んでいる。 このようなフッ素系化合物によるチャンバ一クリーニングの目的化合物とし ては、 C V D法等により、 C V Dチャンパ一壁あるいは C V D装置の冶具等に 付着した、 ケィ素系化合物からなる付着物が挙げられる。 このようなケィ素系 化合物の付着物としては、 たとえば、
( 1 ) ケィ素からなる化合物、 (2) 酸素、 窒素、 フッ素または炭素のうちの少なくとも 1種と、 ケィ素とか らなる化合物、 または
(3) 高融点金属シリサイ ドからなる化合物
などのうちの少なくとも 1種が挙げられ、 より具体的には、 たとえば、 S i、 S i 02、 S i3N4、 WS i等の高融点金属シリサイ ドなどが挙げられる。 また、 クリーユングガスの CVDチャンパ一 12内への導入流量としては、 上記のチャンバ一 1 2の内壁に付着した副生成物をクリーニングする効果を考 慮すれば、 0. 1〜1 0 LZ分、 好ましくは、 0. 5〜1 LZ分とするのが望 ましい。 すなわち、 クリーニングガスの CVDチャンバ一 12内への導入流量 が、 0. 1 L/分より少なければ、 上記クリーニング効果が期待できず、 逆に 導入流量が、 1 0 L/分より多くなれば、 クリ一ユングに寄与せずに外部に排 出されるクリーユングガスの量が多くなつてしまうからである。
なお、 この導入流量は、 例えば、 フラットパネルディスクなど、 基材 Aの種 類、 大きさなどにもよつて適宜変更可能である。 一例を挙げれば、 例えば、 含 フッ素化合物が、 C2FGの場合には、 0. 5〜5 L/分とすればよレ、。
さらに、 クリーニングガスの CVDチャンバ一 12内での圧力としては、 上 記のチャンバ一 12の内壁に付着した副生成物をクリーニングする効果を考慮 すれば、 10〜2000 P a、 好ましくは、 50〜500 P aとするのが望ま しい。 すなわち、 クリーエングガスの CVDチャンバ一 1 2内での圧力が、 1 O P aより小さいか、 もしくは、 逆に、 CVDチャンバ一 1 2内での圧力が、 2000 P aより大きくなれば、 上記クリ一ユング効果が期待できないからで ある。 なお、 この CVDチャンバ一 12内での圧力は、 例えば、 フラットパネ ルディスクなど、 基材 Aの種類、 大きさなどにもよつて適宜変更可能である。 一例を挙げれば、 例えば、 含フッ素化合物が、 C2F6の場合には、 1 00〜5 00 P aとすればよい。
なお、 この場合、 クリーニングガスとして、 COF2と 02の混合ガスを使用 するのが好適である。
すなわち、 クリーニングガスとして、 CO F2と 02の混合ガスを使用するこ とによって、 CVD装置の腐蝕を低減するとともに、 プラズマクリーニングで 発生する排気ガス中の温暖化ガスの発生を最小限に抑制することができる。 このような COF2と 02の混合ガスとしては、 第 1のステップにおける第 1 のクリ一二ングガスとして、 例えば、 全体のモル数を 100 %とした時に、 C OF2が 50%〜98%の混合ガスでクリーニングし、 第 2のステップにおけ る第 2のクリーユングガスとして、 例えば、 全体のモル数を 100 %とした時 に、 COF2が 40%〜 90%の混合ガスでクリーニングするのが望ましい。 さらに、 この場合、 クリーニングガスとして、 F2ガス、 または、 F2 と 02 の混合ガス、 または、 F2と Arの混合ガス、 または、 F2と N2の混合ガスを 使用するのが好適である。
すなわち、 クリーニングガスとして、 F2ガス、 または、 F2と 02の混合ガ ス、 または、 F2と Arの混合ガス、 または、 F2と N2の混合ガスを使用する ことによって、 炭素の混入を嫌う液晶などのプロセスにおいても、 CVD装置 の腐蝕を低減するとともに、 プラズマクリーニングで発生する排気ガス中の温 暧化ガスの発生を極端に少なくすることができる。
この場合、 F2 と A rの混合ガスとしては、 第 1のステップにおける第 1の クリ一ユングガスとして、 例えば、 全体のモル数を 1 00 %とした時に、 F2 が 30%〜100%の混合ガスでクリーニングし、 第 2のステップにおける第 2のタリ一-ングガスとして、 例えば、 全体のモル数を 100 %とした時に、 F2s20%〜100%の混合ガスでクリーニングするのが望ましい。 図 2は、 本発明の C V D装置の別の実施例を示す概略図である。
この実施例の CVD装置 10は、 図 1に示した C VD装置 10と基本的には 同様な構成であり、 同一の構成部材には同一の参照番号を付して、 その詳細な 説明を省略する。
この実施例の CVD装置 10では、 さらに、 C VDチャンバ一 1 2の側部に は、 含フッ素化合物を含んだフッ素系のクリーニングガスをプラズマ化するリ モートプラズマ発生装置 60が備えられている。
そして、 このリモートプラズマ発生装置 60によってプラズマ化されたクリ 一ユングガスは、 ガス導入経路を構成する接続配管 62を介して、 CVDチヤ ンバー 12の側壁 12 b内に導入されるように構成されている。
すなわち、 この実施例のプラズマ CVD装置 10では、 リモートプラズマ発 生装置 60によって、 含フッ素化合物を含んだフッ素系のクリーユングガスを プラズマ化して、 接続配管 62を介して、 減圧状態に維持された CVDチャン バー 1 2内に導入されるようになっている。
そして、 このリモートプラズマ発生装置 60では、 高周波プラズマを形成し てクリーニングガスがイオンやラジカルに分解され、 イオンとラジカルが、 C
VDチャンパ一 1 2の内壁、 電極などの表面に付着、 堆積した S i 02、 S i 3 N4などの副生成物と反応して、 S i F4として副生成物をガス化することによ つて、 ポンプ 14により排気ガスとともに排気経路 1 6を介して、 CVDチヤ ンバー 12の外部に排出されるようになっている。
この場合、高周波印加装置 25から上部電極 20に高周波を印加を印加して、 プラズマクリーニングを行う第 1のステップと、 次いで、 リモートプラズマ発 生装置 60により活性化されたクリーニングガスを CVDチャンパ一 1 2の上 下電極側面、 裏面、 CVDチャンバ一壁面に導入し、 クリーニングする第 2の ステップとを有するようにするのが望ましい。
このように構成することによって、 第 1のステップでは、 平行平板電極を用 いて、 プラズマクリーニングを行うので、 上部電極 2 0、 対向電極 1 8、 C V Dチャンパ一 1 2の上方の側壁に付着した副生物を除去することができる。 そして、 第 2のステップでは、 リモートプラズマ発生装置 6 0により活性化 されたクリーニングガスを C V Dチャンバ一 1 2の上下電極側面、 裏面、 C V Dチャンバ一壁面に導入するので、 クリーニングガスの解離効率が良く、 C V Dチャンバ一の上下電極側面、裏面、 C V Dチャンバ一壁面に付着した S i 02、 S i 3N4などの副生成物を、 効率良く除去することができる。
しかも、 この第 2ステップにおけるプラズマクリーニングでは、 リモートプ ラズマにより活性化されたクリーニングガスを C V Dチャンバ一に導入するの で、 上部電極 2 0、 対向電極 1 8の間でプラズマを励起するのものではなく、 上部電極、 対向電極ステージへのダメージを軽減することができる。
この場合、 リモートプラズマ発生装置 6 0と CVDチヤンバー 1 2との間の 距離、 すなわち、 接続配管 6 2の長さ Lとしては、 0〜2 0 0 c m、 好ましく は、 0〜1 0 0 c m、 さらに好ましくは、 0〜 5 0 c mとするのが望ましい。 すなわち、 長さ Lが、 2 0 0 c mより大きくなれば、 接続配管 6 2の壁部に、 プラズマ化したクリーニングガスが接触、 衝突してしまい、 副生成物をガス化 する効率が低下するからである。 なお、 この長さ Lとしては、 短ければ短いほ どよく、 適宜、 基材 Aの種類、 大きさなどに応じて決めればよい。
この場合、 接続配管 6 2の材質としては、 特に限定されるものではないが、 上記のガス化効率の低下を防ぐ効果を考慮すれば、 例えば、 アルミナ、 不働態 化したアルミニウム、 フッ素系樹脂、 フッ素系樹脂でコーティングした金属な どとするのが望ましい。 また、 この場合、 この実施例の場合には、 リモートプラズマ発生装置 60と CVDチャンバ一 1 2を、接続配管 62を介して、チャンバ一側壁 1 2 bからプ ラズマ化したクリーエングガスを導入するようにしたが、 これに限定されるも のではなく、 直接クリーエングガスを CVDチャンバ一 1 2内に導入するよう にすればよく、 例えば、 チャンバ一 12の頂壁 1 2 aから、 底壁 1 2 cから導 入してチャンバ一壁面を直接クリーユングするようにしても良い。
このようなリモートプラズマ発生装置 60としては、 公知のリモートプラズ マ発生装置を用いれば良く、特に限定されるものではないが、一例を挙げれば、 「ASTRON」 (AS TEX社製) を使用することができる。
図 3は、 本発明の CVD装置の別の実施例を示す概略図である。
この実施例の CVD装置 10、 図 1に示した CVD装置 10と基本的には同 様な構成であり、 同一の構成部材には同一の参照番号を付して、 その詳細な説 明を省略する。
この実施例の CVD装置 10では、 上部電極 20とは別に、 CVDチャンバ 一 12の側壁 1 2 bに別の第 2の RF電極 21が設けられている。
そして、 この第 2の RF電極 21力 高周波電源 23に接続されている。 こ の第 2の RF電極 21には、 図示しないが、 高周波印加コイルなどの高周波印 加装置 27が設けられており、 この高周波印加装置 27と高周波電源 23の間 には、 図示しないマッチング回路が配設されている。
この場合、 上部電極 20に RFを印加して、 プラズマクリーニングを行う第 1のステップと、 次いで、 上部電極 20とは別箇に設けた第 2の RF電極 21 に RFを印加して放電し、上部電極 20、対向電極ステージ 18の側面、裏面、 CVDチャンバ一側壁をプラズマクリーニングする第 2のステップとを有する ようにするのが望ましい。 このように構成することによって、 第 1のステップでは、 主として、 上部電 極、 対向電極、 CVDチャンバ一の上方の側壁に付着した副生物を除去するこ とができる。
そして、 第 2のステップでは、 例えば、 CVDチャンバ一の側壁に設けた、 上部電極とは別箇に設けた第 2の RF電極に RFを印加して放電するので、 上 部電極、 対向電極ステージの側面、 裏面、 CVDチャンパ一側壁をプラズマク リ一ユングすることができる。
しかも、この場合、 RF電極と対向電極との間で放電するものではないので、 上部電極、 対向電極の間でプラズマが励起することなく、 上部電極、 対向電極 ステージへのダメージを軽減することができる。
図 4は、 本発明の C V D装置の別の実施例を示す概略図である。
この実施例の CVD装置 1 0は、 図 1に示した CVD装置 10と基本的には 同様な構成であり、 同一の構成部材には同一の参照番号を付して、 その詳細な 説明を省略する。
この実施例の CVD装置 1 0では、 図 4に示したように、 ガス排出経路であ る排気経路 16に、 ドライポンプ 14の下流側にドライポンプと除害装置 1 3 との間に、 排ガス成分を分析する赤外線吸収分析器 (FT I R) (Fourier Transform Infrared Spectrometry) 50 ¾酉己設して!/、る。
すなわち、 図 5の時間一濃度 (S i F4の濃度) のグラフに示したように、 CVDチャンバ一 1 2からの排ガス中の S i F 4の濃度は、所定時間 T 4の時点 において、 一定のレベル Q1以下となる。
従って、 赤外線吸収分析器 50によって、 CVDチャンバ一 1 2からの排ガ ス中の S i F4の濃度データをモニターリングして、 クリーニング制御装置 6 0において、 予め記憶された S i F4の濃度データと比較して、 所定のクリー ニング終点濃度 Qlに達した時点 T 4において、 クリーニングを終了するよう に制御するように構成されている。
このように構成することによって、 クリーニングの際に CVDチャンバ一 1 2の内壁、 電極などの表面、 ならびにガス排出経路の配管などに付着、 堆積し た S i〇2、 S i 3N4などの副生成物と反応して生じるガス化された S i F4の 濃度を直接モニターすることになるので、 正確にクリーニングが終了する時間 にクリーニングを終了することができるようになつている。
この場合、 クリーニング終点濃度としては、 CVD装置 10の CVDチャンバ 一 12の大きさにもよるが、 CVDチャンバ一 1 2の内壁、 電極などの表面、 ならびにガス排出経路の配管などに付着、堆積した S i 02、 S i3N4などの副 生成物が残存することがなく、 完全に副生物を除去するためには、 1 00 p p mであるのが望ましい。
これにより、 クリーニング終点濃度が、 l O O p pmであれば、 CVDチヤ ンバー 1 2からの排ガス中の S i F4の濃度が、 CVDチャンバ一 1 2の内壁、 電極などの表面だけでなく、ガス排出経路の配管などに付着、堆積した S i 02、 S i 3N4などの副生成物を完全に除去できる濃度に対応している。
従って、 このクリーニング終点濃度が、 100 p ρηιでクリーニングを終了 するようにすることによって、正確にクリーニングが終了する時間 T4 (この実 施例では、 1 1 7秒後) にクリーニングを終了することができ、 その結果、 副 生成物を完全に除去できる。
なお、 このような赤外線吸収分析器 (FT I R) 50としては、 特に限定さ れるものではないが、 例えば、 MIDAC 社製の 「GMS-1000」 などが使用可能 である。
このように構成することによって、 例えば、 S i O2の成膜を行った際に、 S' i 02膜はクリーニングされる時に、 S i F4として排出されるので、 赤外線 吸収分折器 (FT I R) 50でモニタされた S i F4の排出量が、 CVDチヤ ンバー 1 2内に付着した副生物である膜の量と見なすことができる。
従って、 成膜の際に、 例えば、 対向電極ステージ 1 8の温度、 RF電極 20 と対向電極ステージ 1 8との間の電極間隔などの成膜条件を変化させて成膜を 行い、 クリーニングする際に、 赤外線吸収分析器 (FT I R) 50で排ガス成 分をモニターリングして、 所定の排ガス成分が、 所定の濃度以下、 例えば、 S i F4の排出量が 100 p p mを越え、 クリ一エングが進み、 再び 1 00 p p m以下となるまでの排出量を比較することによって、 副生物の付着、 堆積量の 少ない成膜条件の最適条件を得ることができる。
このようなクリ一二ング制御装置 60での最適条件データが、 図 4に示した ように、 成膜条件制御装置 70に入力され、 この成膜条件制御装置 70の制御 によって、 .この最適条件にて、 成膜を実施するようになっている。
これによつて、 成膜工程の際に CVDチャンバ一 1 2の内壁、 電極などの表 面に付着、堆積する S i〇2、 S i 3 N 4などの副生成物の量を低減することがで き、その結果、タリ一ユングの際にクリ一二ング時間を短縮することが可能で、 地球温暖化係数の高いガスの放出量を減らことができる。
また、 図 6のグラフに示したように、 最適条件における対向電極ステージ 1 8の温度が、 250〜400°C、 好ましくは、 350°Cであるのが望ましい。 このような温度に対向電極ステージ 18の温度を設定することによって、 成 膜工程の際に CVDチャンバ一 12の内壁、 電極などの表面に付着、 堆積する S i 02、 S i 3N4などの副生成物の量が極めて少なくなる。
また、 図 6のグラフに示したように、 最適条件における RF電極 20と対向 電極ステージ 18との間の電極間隔が、 8〜 30 mm、 好ましくは、 1 7 mm であるのが望ましい。
このような大きさに RF電極 20と対向電極ステージ 1 8との間の電極間隔 を設定することによって、 成膜工程の際に CVDチャンバ一 12の内壁、 電極 などの表面に付着、堆積する S i〇2、 S i 3N4などの副生成物の量が極めて少 なくなる。
なお、 上記の成膜条件としては、 対向電極ステージ 18の温度、 RF電極 2 0と対向電極ステージ 18との間の電極間隔などの成膜条件に限定されるもの ではなく、 例えば、 このようなパラメータとしては、 ガス流量、 圧力、 RFの Power, RFの周波数などが挙げられる。
実施例
実施例 1
図 1に示したような構成の CVD装置を用いて、下記の表 1に示した条件で、 クリーニングガスとして、 C2F6と 02の混合ガス (No. 1、 No. 2)、 C OF2と O2の混合ガス (No. 3、 N o 4) を用いて、 クリーニングダメージ 測定を行い、 RF周波数 (1 3. 56MHz、 6 OMH z) の効果の比較を行 つた。
評価方法としては、 AES深さ方向分析による腐食層の比較として、 A 1 7 0 %以上までのスパッタ時間に 1 3 nm/m i n (S i O2のスパッタレ一ト) を乗じ、 腐食層深さとした。 No. ガス種 圧力 (Pa) RFパワー (W) Gap(mm) 処理時間 (min) ケ J一二ング
02(sccm) 咖 Hz) !3.56(MHz) 終点時間 (min)
O
1 300 200 200 1000 20 30 58
2 300 200 200 1000 20 30 51.6
3 600 300 300 1000 20 30 50.5
4 600 300 300 1000 20 30 50.6 その結果を、 図 7 (C2F6と〇2の混合ガス : No. 1、 60MHz)、 図 8 (C2F6と〇2の混合ガス: N o . 2、 1 3. 56MHz)、 図 9 (COF2と O 2の混合ガス : N o . 3、 60 MH z )、 図: 10 (C O F2と 02の混合ガス : N o . 4、 1 3. 56MHz) に示した。
これらの図 8〜図 1 0から明らかなように、 13. 56MHzの方が、 60 MHzよりも、 腐食層深さ (ダメージ深度) が深くなつている。
従って、 1 3. 56 MI- I zを第 1の周波数として用いて、 副生物をおおよそ 除去した後、 第 2の周波数として、 60MHzを用いれば、 効率良く副生物を 除去できるとともに、 上部電極、 対向電極ステージ 1 8などへのダメ一ジも少 なくなることが分かる。
実施例 2
図 4に示したような構成の CVD装置を用いて、下記の成膜条件で、 S i 02 の成膜を行った。
S i H4 70 s c c m N20 2000 s c cm
圧力 200 P a 電源周波数 13. 56 MHz P owe r 350 W、
の各条件を一定として成膜を行った。
この際、 下部電極温度を 300°Cと 350°C、 電極間隔を 1◦ mmと 1 7 mmにそれぞれ変えて成膜を行った。
そして、 成膜の後、 下記のクリーニング条件で、 CVDチャンバ一 1 2のク リ一二ングをそれぞれ実施した。
NF3/A r = 300/700 s c cm
圧力; 200 P a
電極間隔 = 3 Omm
P owe r = 1 000W
この際、 CVDチャンバ一 1 2のクリーユングを行った時に排出されるガス を、 赤外線吸収分析器 (FT I R) 50でモニターした。
すなわち、 S i 02の成膜を行った際に、 S i 02膜はクリーニングされる時 に、 S i F4として排出されるので、 赤外線吸収分析器 (FT I R) 50でモ 二ターされた S i F4の排出量が、 CVDチャンバ一 1 2内に付着した副生物 である膜の量と見なすことができる。
従って、 成膜の際に、 上記のように、 対向電極ステージ 1 8の温度、 RF電 極 20と対向電極ステージ 18との間の電極間隔の成膜条件を変化させて成膜 を行い、 クリーニングする際に、 赤外線吸収分析器 (FT I R) 50で排ガス 成分をモニターリングして、 所定の排ガス成分が、 所定の濃度以下、 例えば、 S i F4の排出量が 1 00 p p mを越え、 クリ一ユングが進み、 再び 1 00 p pm以下となるまでの排出量を比較することによって、 副生物の付着、 堆積量 の少ない成膜条件の最適条件を得ることができる。
その結果を、 下記の表 2に示した。 また、 この表 2の結果を、 図 6にグラフ 化した。 図 6のグラフから明らかなように、 下部電極である対向電極ステージ 1 8の温度が高い方が S i 02の付着量が少なく、 電極間隔が広い方が付着量 が少ないことが分かる。
また、 図 6のグラフに示したように、 最適条件における対向電極ステージ 1 8の温度が、 250〜400°C、 好ましくは、 350°Cであるのが望ましい。 さらに、 図 6のグラフに示したように、 最適条件における RF電極 20と対 向電極ステージ 1 8との間の電極間隔が、 8〜 30 mm、 好ましくは、 1 7m mであるのが望ましい。
表 2
Figure imgf000039_0001
以上、 本発明のプラズマ CVD装置のクリーユング装置の実施例について説 明したが、 本発明の範囲内において、 例えば、 以上の実施例については、 シリ コン薄膜の形成について述べたが、 他のシリコンゲルマニウム膜 (S i Ge)、 シリコンカーバイド膜 (S i C)、 S i OFS莫、 S i ON膜、 含炭素 S i 02膜 などの薄膜を形成する場合にも適用可能である。
また、 上記実施例では、 横置き型の装置について説明したが、 縦置き型の装 置に変更することも可能であり、 また、 上記実施例では、 枚葉式のものについ て説明したが、 パッチ式の CVD装置にも適用可能である。
さらには、 上記実施例では、 一例としてプラズマ CVD装置に適用したが、 薄膜材料を高温中で熱分解、 酸化、 還元、 重合、 気相化反応などによって基板 上に薄膜を堆積する、 真空蒸着法などのその他の CVD法にも適用可能である など種々変更することが可能であることはももろんである。
以上、 本発明の好ましい実施例を説明したが、 本発明はこれに限定されるこ とはなく、 本発明の目的を逸脱しない範囲で種々の変更が可能である。 (発明の効果)
本発明によれば、 R F電極に印加する R Fの周波数が、 成膜のために印加す る第 1の周波数と、 第 2の周波数とを切替えることができるので、 第 1の周波 数を用いることによって、 成膜のために好適な条件で高密度のプラズマを発生 させることができ高品質な薄膜製造が可能である。
しかも、 プラズマクリーニングする際には、 第 2の周波数に切り替えること によって、 プラズマクリーエングに好適な条件で高密度のプラズマを発生させ ることができ、 成膜工程の際に C VDチャンバ一の内壁、 電極などの表面に付 着、堆積した S i 02、 S i 3N4などの副生成物を、 効率良く除去することがで 含る。
また、 本発明によれば、 基板表面上に堆積膜を形成した後に、 クリーニング ガスを導入して C V Dチャンバ一内をプラズマクリーニングする際に、 第 1の ステップにおいて、 R F電極に第 1の周波数として、 比較的低い周波数の R F を印加することによって、 堆積膜の残つている状態である対向電極ステージへ のダメージが少ない条件で、 高密度のプラズマを発生して、 成膜工程の際に C V Dチャンバ一の内壁、 電極などの表面に付着、 堆積した S i 02、 S i 3N4 などの副生成物をおおよそ除去できる。
そして、 この第 1のステップでこれらの副生物をおおよそ除去した後、 第 2 のステップにおいて、 R F電極に第 2の周波数として、 比較的高い周波数の R Fを印加することによつて、付着残りの副生物を完全に除去することができる。 し力 も、 この第 2ステップにおけるプラズマクリーニングを短時間で行うこ とによって、 上部電極、 対向電極ステージへのダメージを軽減することができ る。
また、 本発明によれば、 第 1のステップと第 2のステップで電極間隔を変化 させることによって、 第 1のステップにおいて、 例えば、 電極間の間隙を狭く することによって、 高密度のプラズマを発生させるとともに、 上部電極、 対向 電極、 C VDチャンバ一の上方の側壁に付着した副生物を除去することができ る。
そして、 第 2のステップにおいて、 例えば、 上記第 1のステップよりも電極 間の間隙を広くすることによって、 C V Dチャンバ一の上下電極側面、 裏面、 C V I〕チャンバ一壁面をクリーニングして、 副生物を除去することができる。 また、 本発明によれば、 第 1のステップでは、 平行平板電極を用いて、 プラ ズマクリーニングを行うので、 上部電極、 対向電極、 C V Dチャンバ一の上方 の側壁に付着した副生物を除去することができる。
そして、 第 2のステップでは、 リモートプラズマにより活性化されたクリー ニングガスを C VDチャンバ一の上下電極側面、 裏面、 C V Dチャンバ一壁面 に導入するので、 クリーニングガスの解離効率が良く、 C V Dチャンバ一の上 下電極側面、 裏面、 C V Dチャンバ一壁面に付着した S i 02、 S i 3N4などの 副生成物を、 効率良く除去することができる。
しかも、 この第 2ステップにおけるプラズマクリーニングでは、 リモートプ ラズマにより活性化されたクリーニングガスを C V Dチャンバ一に導入するの であって、 上部電極、 対向電極の間でプラズマが励起するのではないので、 上 部電極、 対向電極ステージへのダメージを軽減することができる。
また、 本発明によれば、 第 1のステップでは、 主として、 上部電極、 対向電 極、 CVDチャンパ一の上方の側壁に付着した副生物を除去することができる。 そして、 第 2のステップでは、 例えば、 CVDチャンバ一の側壁に設けた、 R F電極とは別箇に設けた第 2の R F電極に R Fを印加して放電するので、 R F電極、 対向電極ステージの側面、 裏面、 CVDチャンバ一側壁をプラズマク リーニングすることができる。
しかも、 この場合、 RF電極と対向電極との間で放電するのではないので、 上部電極、 対向電極の間でプラズマが励起するのではなく、 上部電極、 対向電 極ステージへのダメージを軽減することができる。
また、 本発明によれば、 基板表面上に堆積膜を形成した後に、 クリーニング ガスを導入して CVDチャンパ一内をプラズマクリーニングする際に、 第 1の ステップにおいて、 RF電極に第 1の周波数として、 比較的低い周波数である 1 3. 56 MHzの RFを印加することによって、 対向電極ステージへのダメ ージが少ない条件範囲で、 高密度のプラズマを発生して、 成膜工程の際に CV Dチャンバ一の内壁、 電極などの表面に付着、 堆積した S i 02、 S i 3N4など の副生成物をおおよそ除去できる。
そして、 この第 1のステツプでこれらの副生物をおおよそ除去した後、 第 2 のステップにおいて、 RF電極に第 2の周波数として、 比較的高い周波数であ る 60MHzの RFを印加することによって、 付着残りの副生物を完全に除去 することができる。
しかも、 この第 2ステップにおけるプラズマクリーニングを短時間で行うこ とによって、 上部電極、 対向電極ステージへのダメージを軽減することができ るなどの幾多の顕著で特有な作用効果を奏する極めて優れた発明である。

Claims

請求の範囲
1. CVDチヤンバー内に R Fを印加する R F電極とそれに対向し堆積 膜を形成する基板を載置することのできる対向電極ステージを有する C VD装 置であって、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンパー内をプラズマクリーニングする際に、
前記 RF電極に印加する RFの周波数が、 成膜のために印加する第 1の周波 数と、 プラズマクリーエングする際に印加する第 2の周波数とを切替えること ができるように構成されていることを特徴とする CVD装置。
2. C VDチヤンバー内に R Fを印加する R F電極とそれに対向し堆積 膜を形成する基板を载置することのできる対向電極ステージを有する CVD装 置であって、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする際に、
前記 RF電極に第 1の周波数の RFを印加してプラズマクリーユングする第 次いで第 2の周波数の RFを印加してプラズマクリーニングする第 2のステ ップとを有するように構成されていることを特徴とする CVD装置。
3. 前記第 1のステップと第 2のステップで電極間隔を変化させること を特徴とする請求項 2に記載の C VD装置。
4. 前記第 1のステップよりも第 2のステップでの電極間隔を大きくす ることを特徴とする請求項 3に記載の C V D装置。
5. C VDチヤンバー内に R Fを印加する R F電極とそれに対向し堆積 膜を形成する基板を載置することのできる対向電極ステージを有する CVD装 置であって、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンパー内をプラズマクリーニングする際に、
前記 RF電極に RFを印加して、 プラズマクリーユングを行う第 1のステツ プと、
次いで、 リモートプラズマにより活性化されたクリーニングガスを CVDチ ヤンバーの上下電極側面、 裏面、 CVDチャンバ一壁面に導入し、 タリーニン グする第 2のステップとを有するように構成されていることを特徴とする CV
6. C VDチヤンバー内に R Fを印加する R F電極とそれに対向し堆積 膜を形成する基板を載置することのできる対向電極ステージを有する C VD装 置であって、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする際に、
前記 RF電極に RFを印加して、 プラズマクリーニングを行う第 1のステツ プと、
次いで、 前記 R F電極とは別箇に設けた第 2の R F電極に R Fを印加して放 電し、 前記 RF電極、 前記対向電極ステージの側面、 裏面、 前記 CVDチャン バー側壁をプラズマクリーユングする第 2のステップとを有するように構成さ れていることを特徴とする CVD装置。
7. 前記第 2の RF電極が、 CVDチャンパ一の側壁に配置されている ことを特徴とする請求項 6に記載の CVD装置。
8. 前記第 2の周波数が、 6 OMHzであることを特徴とする請求項 1 から 2のいずれかに記載の CVD装置。 9. 前記第 1の周波数が、 1 3. 56 MHzであることを特徴とする請 求項 1、 2、 8のいずれかに記載の CVD装置。
10. 前記クリーニングガスとして、 CO F2と 02の混合ガスを使用す ることを特徴とする請求項 1から 9のレ、ずれかに記載の CVD装置。
1 1. 前記クリーニングガスとして、 F2ガス、 または、 F2と〇2の混 合ガス、 または、 F2と A rの混合ガス、 または、 F2と N2の混合ガスを使用 することを特徴とする請求項 1から 9のいずれかに記載の CVD装置。 12. CVDチャンバ一内に RFを印加する RF電極とそれに対向し堆 積膜を形成する基板を載置することのできる対向電極ステージを有する C VD 装置において、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンパー内をプラズマクリーニングする CVD装置のクリーニング方法であつ て、
前記 RF電極に印加する RFの周波数が、 成膜のために印加する第 1の周波 数と、 プラズマクリーニングする際に印加する第 2の周波数とを切替えること を特徴とする CVD装置のクリーニング方法。
1 3. CVDチャンバ一内に RFを印加する RF電極とそれに対向し堆 積膜を形成する基板を載置することのできる対向電極ステージを有する C VD 装置において、
基板表面上に堆積膜を形成した後に、 クリ一二ングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする CVD装置のクリーニング方法であつ て、
前記 RF電極に第 1の周波数の RFを印加してプラズマクリーユングする第 1のステップと、
次いで第 2の周波数の RFを印加してプラズマク V一-ングする第 2のステ ップとを有することを特徴とする CVD装置のクリーニング方法。
1 . 前記第 1のステップと第 2のステップで電極間隔を変化させるこ とを特徴とする請求項 1 3に記載の CVD装置のクリーニング方法。 1 5. 前記第 1のステップよりも第 2のステップでの電極間隔を大きく することを特徴とする請求項 14に記載の CVD装置のクリーニング方法。
16. CVDチャンバ一内に RFを印加する RF電極とそれに対向し堆 積膜を形成する基板を载置することのできる対向電極ステージを有する C V D 装置において、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンパー内をプラズマクリーニングする CVD装置のクリーユング方法であつ て、
前記 RF電極に RFを印加して、 プラズマクリーニングを行う第 1のステツ プと、
次いで、 リモートプラズマにより活性化されたクリ一ユングガスを CVDチ ヤンパーの上下電極側面、 裏面、 CVDチャンバ一壁面に導入し、 クリーニン グする第 2のステップとを有することを特徴とする CVD装置のクリーニング 方法。
1 7. CVDチャンバ一内に RFを印加する R F電極とそれに対向し堆 積膜を形成する基板を載置することのできる対向電極ステージを有する C VD 装置において、
基板表面上に堆積膜を形成した後に、 クリーニングガスを導入して CVDチ ヤンバー内をプラズマクリーニングする CVD装置のクリーニング方法であつ て、
前記 R F電極に R Fを印加して、 プラズマクリ一ニングを行う第 1のステツ プと、
次いで、 前記 RF電極とは別箇に設けた第 2の RF電極に RFを印加して放 電し、 前記 RF電極、 前記対向電極ステージの側面、 裏面、 前記 CVDチャン バー側壁をプラズマクリーニングする第 2のステップとを有することを特徴と する CVD装置のクリーニング方法。
18. 前記第 2の RF電極が、 CVDチャンバ一の側壁に配置されてい ることを特徴とする請求項 1 7に記載の CVD装置のクリーニング方法。
1 9. 前記第 2の周波数が、 60MHzであることを特徴とする請求項 12から 13のいずれかに記載の CVD装置のクリーニング方法。
20. 前記第 1の周波数が、 1 3. 56MHzであることを特徴とする 請求項 1 2、 1 3、 1 9のいずれかに記載の CVD装置のクリーニング方法。 21. 前記クリーニングガスとして、 CO F2と 02の混合ガスを使用す ることを特徴とする請求項 1 2から 20のいずれかに記載の CVD装置のクリ 一二ング方法。
22. 前記クリ一ユングガスとして、 F2ガス、 または、 F2と 02の混 合ガス、 または、 F2と Arの混合ガス、 または、 F2と N2の混合ガスを使用 することを特徴とする請求項 1 2から 20のいずれかに記載の CVD装置のク リーニング方法。
23. CVDチャンバ一内に RFを印加する RF電極とそれに対向し堆 積膜を形成する基板を載置することのできる対向電極ステージを有する CVD 装置であって、
前記 C V Dチャンバ一から排ガスを排出するガス排出経路に配設した排ガス 成分を分析する赤外線吸収分析器 (FT I R) と、
成膜条件制御装置とを備え、 前記成膜条件制御装置が、
前記 CVD装置によって、 基材表面上に堆積膜を形成する際に、 前記対向電 極ステージの温度、 前記 R F電極と対向電極ステージとの間の電極間隔などの 成膜条件を変化させて成膜を行い、
前記 CVD装置によって、 基材表面上に堆積膜を形成した後に、 クリーニン グガスを導入して CVDチャンバ一内をクリーユングする際に、
前記赤外線吸収分析器 (FT I R) によって、 排ガス成分をモニターリング して、
所定の排ガス成分が、 所定の濃度以下になるまでの排出量を比較して、 前記 対向電極ステージの温度、 前記 R F電極と対向電極ステージとの間の電極間隔 などの成膜条件の最適条件を得て、
この最適条件にて、 成膜を実施するように制御するように構成されているこ とを特徴とする CVD装置。
24. 前記最適条件における対向電極ステージの温度が、 250〜40 0 °Cであることを特徴とする請求項 23に記載の C V D装置。
25. 前記最適条件における対向電極ステージの温度が、 350°Cであ ることを特徴とする請求項 24に記載の CVD装置。
26. 前記最適条件における RF電極と対向電極ステージとの間の電極 間隔が、 8〜3 Ommであることを特^ [とする請求項 23カゝら 25のいずれか に記載の CVD装置。
27. 前記最適条件における RF電極と対向電極ステージとの間の電極 間隔が、 1 7mmであることを特徴とする請求項 26に記載の CVD装置。
28. CVDチャンバ一内に RFを印加する RF電極とそれに対向し堆 積膜を形成する基板を載置することのできる対向電極ステージを有する CVD 装置を用いた成膜方法であって、
前記 CVDチャンバ一から排ガスを排出するガス排出経路に配設した排ガス 成分を分析する赤外線吸収分析器 (FT I R) と、
成膜条件制御装置とを備え、
前記成膜条件制御装置によって、
前記 CVD装置によって、 基材表面上に堆積膜を形成する際に、 前記対向電 極ステージの温度、 前記 R F電極と対向電極ステージとの間の電極間隔などの 成膜条件を変化させて成膜を行い、
前記 CVD装置によって、 基材表面上に堆積膜を形成した後に、 クリーニン グガスを導入して CVDチャンバ一内をクリーニングする際に、
前記赤外線吸収分析器 (FT I R) によって、 排ガス成分をモニターリング して、
所定の排ガス成分が、 所定の濃度以下になるまでの排出量を比較して、 前記 対向電極ステージの温度、 前記 R F電極と対向電極ステージとの間の電極間隔 などの成膜条件の最適条件を得て、
この最適条件にて、 成膜を実施することを特徴とする CVD装置を用いた成 膜方法。
29. 前記最適条件における対向電極ステージの温度が、 250〜40 0°Cであることを特徴とする請求項 28に記載の C V D装置を用いた成膜方法。
30. 前記最適条件における対向電極ステージの温度が、 3 50°Cであ ることを特徴とする請求項 29に記載の CVD装置を用いた成膜方法。
31. 前記最適条件における RF電極と対向電極ステージとの間の電極 間隔が、 8〜3 Ommであることを特徴とする請求項 28から 30のいずれか に記載の C V D装置を用いた成膜方法。 32. 前記最適条件における RF電極と対向電極ステージとの間の電極 間隔が、 1 7 mmであることを特徴とする請求項 3 1に記載の CVD装置を用 いた成膜方法。
PCT/JP2004/003258 2003-03-14 2004-03-12 Cvd装置及びcvd装置のクリーニング方法 WO2004082008A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP04720167.8A EP1612857B1 (en) 2003-03-14 2004-03-12 Cvd apparatus and method for cleaning cvd apparatus
US10/548,873 US20060201533A1 (en) 2003-03-14 2004-03-12 Cvd apparatus and method for cleaning cvd apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003070337 2003-03-14
JP2003-070337 2003-03-14
JP2003187141A JP4264479B2 (ja) 2003-03-14 2003-06-30 Cvd装置のクリーニング方法
JP2003-187141 2003-06-30

Publications (2)

Publication Number Publication Date
WO2004082008A1 true WO2004082008A1 (ja) 2004-09-23
WO2004082008A8 WO2004082008A8 (ja) 2004-12-29

Family

ID=32992979

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/003258 WO2004082008A1 (ja) 2003-03-14 2004-03-12 Cvd装置及びcvd装置のクリーニング方法

Country Status (4)

Country Link
US (1) US20060201533A1 (ja)
EP (1) EP1612857B1 (ja)
JP (1) JP4264479B2 (ja)
WO (1) WO2004082008A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006066540A (ja) 2004-08-25 2006-03-09 Tokyo Electron Ltd 薄膜形成装置の洗浄方法及び薄膜形成装置
US7494943B2 (en) * 2005-10-20 2009-02-24 Tokyo Electron Limited Method for using film formation apparatus
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
JP2009152539A (ja) * 2007-11-30 2009-07-09 National Institute Of Advanced Industrial & Technology 半導体デバイスの連続製造方法及びチャンバー
JP4531833B2 (ja) 2007-12-05 2010-08-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びクリーニング方法
CN101925983A (zh) * 2007-12-21 2010-12-22 苏威氟有限公司 用于生产微机电系统的方法
EP2130940B1 (en) 2008-05-30 2017-04-05 Applied Materials, Inc. Arrangement and method for removing alkali- or alkaline earth-metals from a vacuum coating chamber
US8083859B2 (en) 2008-05-30 2011-12-27 Applied Materials, Inc. Arrangement and method for removing alkali- or alkaline earth-metals from a vacuum coating chamber
US20100098882A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Plasma source for chamber cleaning and process
JP2012507834A (ja) * 2008-11-04 2012-03-29 フラウンホーファー−ゲゼルシャフト ツル フェルデルング デル アンゲヴァンテン フォルシュング エー ファウ 平面基板をプラズマ加工する方法および装置
JP2010182937A (ja) * 2009-02-06 2010-08-19 Sharp Corp プラズマクリーニング方法
WO2011051410A1 (en) * 2009-10-30 2011-05-05 Solvay Fluor Gmbh Method for removing deposits
CN102098863B (zh) * 2009-12-14 2013-09-11 北京北方微电子基地设备工艺研究中心有限责任公司 用于等离子体加工设备的电极板和清除工艺沉积物的方法
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
JP2012015411A (ja) * 2010-07-02 2012-01-19 Tokyo Electron Ltd 半導体装置の製造方法及び半導体装置
EP2608899A4 (en) * 2010-08-25 2016-04-20 Linde Ag SEPARATION CHAMBER CLEANING BY ON-LINE ACTIVATION OF MOLECULAR FLUOR
US9017486B2 (en) 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
JP5904877B2 (ja) * 2012-05-29 2016-04-20 大陽日酸株式会社 炭化珪素除去方法
JP2013251325A (ja) * 2012-05-30 2013-12-12 Taiyo Nippon Sanso Corp 炭化珪素除去装置及び炭化珪素除去方法
JP5973850B2 (ja) * 2012-09-03 2016-08-23 大陽日酸株式会社 クリーニング終点検知方法
WO2014123028A1 (ja) * 2013-02-05 2014-08-14 株式会社日立国際電気 クリーニング方法
JP5988102B2 (ja) * 2013-03-01 2016-09-07 パナソニックIpマネジメント株式会社 プラズマクリーニング方法
US10784091B2 (en) * 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US10529581B2 (en) 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
JP7091198B2 (ja) * 2018-09-11 2022-06-27 キオクシア株式会社 プラズマ処理装置および半導体装置の製造方法
CN111370282B (zh) * 2018-12-26 2022-06-24 江苏鲁汶仪器有限公司 一种等离子增强化学气相沉积腔室的清洗方法
CN114649178A (zh) * 2020-12-18 2022-06-21 中微半导体设备(上海)股份有限公司 一种下电极组件及等离子体处理装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01113332U (ja) * 1988-01-26 1989-07-31
JPH07288248A (ja) * 1994-04-19 1995-10-31 Toshiba Corp 半導体素子用プラズマ装置
JPH08176828A (ja) * 1994-12-22 1996-07-09 Matsushita Electric Ind Co Ltd プラズマクリーニング方法
JPH1072672A (ja) * 1996-07-09 1998-03-17 Applied Materials Inc 非プラズマ式チャンバクリーニング法
JPH11204436A (ja) * 1998-01-16 1999-07-30 Sharp Corp 電子デバイス製造装置
JP2002158181A (ja) * 2000-09-11 2002-05-31 Research Institute Of Innovative Technology For The Earth クリーニングガス及びエッチングガス
JP2002517740A (ja) * 1998-06-12 2002-06-18 オン−ライン テクノロジーズ インコーポレーテッド 処理室清浄またはウエハエッチング・エンドポイントの特定方法およびその装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3696983B2 (ja) * 1996-06-17 2005-09-21 キヤノン株式会社 プラズマ処理方法およびプラズマ処理装置
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01113332U (ja) * 1988-01-26 1989-07-31
JPH07288248A (ja) * 1994-04-19 1995-10-31 Toshiba Corp 半導体素子用プラズマ装置
JPH08176828A (ja) * 1994-12-22 1996-07-09 Matsushita Electric Ind Co Ltd プラズマクリーニング方法
JPH1072672A (ja) * 1996-07-09 1998-03-17 Applied Materials Inc 非プラズマ式チャンバクリーニング法
JPH11204436A (ja) * 1998-01-16 1999-07-30 Sharp Corp 電子デバイス製造装置
JP2002517740A (ja) * 1998-06-12 2002-06-18 オン−ライン テクノロジーズ インコーポレーテッド 処理室清浄またはウエハエッチング・エンドポイントの特定方法およびその装置
JP2002158181A (ja) * 2000-09-11 2002-05-31 Research Institute Of Innovative Technology For The Earth クリーニングガス及びエッチングガス

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1612857A4 *

Also Published As

Publication number Publication date
WO2004082008A8 (ja) 2004-12-29
EP1612857A1 (en) 2006-01-04
EP1612857A4 (en) 2009-02-25
EP1612857B1 (en) 2018-08-01
JP2004343026A (ja) 2004-12-02
US20060201533A1 (en) 2006-09-14
JP4264479B2 (ja) 2009-05-20

Similar Documents

Publication Publication Date Title
WO2004082008A1 (ja) Cvd装置及びcvd装置のクリーニング方法
JP3855081B2 (ja) フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法
KR100523069B1 (ko) Cvd 장치의 클리닝 방법 및 그를 위한 클리닝 장치
KR100760891B1 (ko) 불소 이용 강화를 위한 방법
EP1489645B1 (en) Cvd apparatus and method of cleaning the cvd apparatus
KR100855597B1 (ko) 육불화황 원격 플라즈마 소스 세정
US8277560B2 (en) CVD apparatus and method of cleaning the CVD apparatus
JP2004281673A (ja) Cvd装置のクリーニング装置およびcvd装置のクリーニング方法
JP4801709B2 (ja) Cvd装置を用いた成膜方法
KR20080062112A (ko) 박막 증착 장비의 세정 방법
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
JPH11236561A (ja) クリーニングガス

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
CFP Corrected version of a pamphlet front page

Free format text: UNDER (57) PUBLISHED ABSTRACT REPLACED BY CORRECT ABSTRACT

WWE Wipo information: entry into national phase

Ref document number: 2004720167

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004720167

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 10548873

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10548873

Country of ref document: US