WO2001087505A1 - Supercritical fluid cleaning process for precision surfaces - Google Patents

Supercritical fluid cleaning process for precision surfaces Download PDF

Info

Publication number
WO2001087505A1
WO2001087505A1 PCT/US2001/015999 US0115999W WO0187505A1 WO 2001087505 A1 WO2001087505 A1 WO 2001087505A1 US 0115999 W US0115999 W US 0115999W WO 0187505 A1 WO0187505 A1 WO 0187505A1
Authority
WO
WIPO (PCT)
Prior art keywords
vessel
supercritical fluid
supercritical
pressure
substrate
Prior art date
Application number
PCT/US2001/015999
Other languages
English (en)
French (fr)
Inventor
Heiko Moritz
James Boyd
David Mount
Mohan Chandra
Michael Costantini
Ijaz Jafri
Rick Heathwaite
Original Assignee
S. C. Fluids, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/632,770 external-priority patent/US6508259B1/en
Priority claimed from US09/665,932 external-priority patent/US6334266B1/en
Priority claimed from US09/837,507 external-priority patent/US6612317B2/en
Application filed by S. C. Fluids, Inc. filed Critical S. C. Fluids, Inc.
Priority to JP2001583954A priority Critical patent/JP2004510321A/ja
Priority to AU2001263231A priority patent/AU2001263231A1/en
Publication of WO2001087505A1 publication Critical patent/WO2001087505A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/008Processes carried out under supercritical conditions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/54Improvements relating to the production of bulk chemicals using solvents, e.g. supercritical solvents or ionic liquids

Definitions

  • This invention relates to methods for cleaning precision surfaces of wafers with supercritical fluids; and in particular to methods of cleaning wafers using rapid decompression techniques at supercritical state combined with full flow washing action.
  • Semiconductor device manufacturing or IC fabrication requires precisely controlled quantities of impurities to be introduced into tiny regions ofthe silicon substrate. Subsequently these regions must be interconnected to create components and electronic circuits. Lithographic processes create the patterns that define such regions. That is, a layer of photoresist materials is first spin-coated onto the wafer substrate. Next, this resist is selectively exposed to radiation such as ultraviolet light, electrons, or x-rays. An exposure machine, called stepper, and mask, called reticule, are used to effect the desired selective exposure. The patterns in the resist are formed when the wafer undergoes a subsequent development step. The areas of resist remaining after development protect the substrate regions, which they cover.
  • Locations from which resist has been removed can be subjected to a variety of subtractive (e.g. etching) or additive (e.g. ion implantation) processes that transfer the pattern onto the substrate surface.
  • An advance integrated circuit can have up to 30 or more masking layers. Approximately one-third of he total cost of semiconductor manufacturing can be attributed to microlithographic processing; ref. Silicon Processing for the VLSI Era. S. Wolf and R.N. Tauber, Vol. 1, 2 nd edition, Lattice Press, Sunset Beach, CA, 2000, pp. 488, incorporated herein by reference.
  • Sidewall polymers also called veils or via veils are a beneficial by-product, or artifact of RIE providing means for anisotropic etch to produce high aspect ratio vias.
  • Compositionally sidewall polymers are generally low molecular weight fluoropolymers, that form from a combination of ion bombardment ofthe photoresist mask and fluorine in the etch gas chemistry. Upon back-sputtering from the via base metal ions are incorporated in the via veil, which tend to oxidize at high temperature (250°C to 400°C) oxygen plasma based photoresist stripping to become insoluble.
  • etching wet or dry
  • ion implantation photoresist After etching (wet or dry) or ion implantation photoresist has to be removed. There are different degrees of difficulty required to do this, depending on the prior process. High-temperature hard bakes, plasma etch residues, sidewall polymers in contact holes and electrical interconnect trenches, ion implantation crusting, shrinking feature sizes, and new polymeric types of insulating material including low-k materials, all present challenges for the resist removal process. Both wet and dry stripping methods are being used. Plasma ashing as a dry method is currently the method of choice for the back- end ofthe fabrication process where the electrical interconnects are manufactured.
  • plasma resist stripping During plasma ashing, photoresist is removed by oxygen energized in a plasma field, which oxidizes the resist components to gases that are removed from the process chamber by a vacuum pump. Microwave, RF and UV-ozone sources generate the plasma.
  • the disadvantage of plasma resist stripping is its ineffectiveness in the removal of metal ions and residues after dry etching, or reactive ion etching (RIE), namely sidewall polymers in vias, contact holes and trenches; ref. Microchip Fabrication, P. van Zant, 3 rd edition, McGraw Hill, New York, 1997, pp. 273, incorporated herein by reference.
  • RIE reactive ion etching
  • To complete the photoresist stripping process all residues have to be removed. This is typically done in wet chemical cleaning stations. After that the wafer has to be rinsed in deionized water and is finally dried. The process is called post-strip cleans.
  • ⁇ PA is performed at high temperatures of 250° - 400°C, adding to the thermal budget ofthe wafer; ⁇ after PA residues are left in vias and trenches that need additional wet chemical treatment; ⁇ PA is not efficient for removing mobile metallic ion contamination; ⁇ PA can cause radiation damage ofthe electronic circuits; ⁇ PA of photoresist after ion implantation can lead to "resist popping" littering the wafer with particulate matter; ⁇ with PA, selectivity between photoresist and low-k materials is bad and low-k material may be mechanically affected; ⁇ PA can modify the dielectric constant of low-k material due to charge damage; ⁇ post-strip wet chemical treatment may modify the low-k material in its electrical properties; ⁇ shrinldng dimensions of features below 0.18 ⁇ m present a problem for wet chemistry as post-strip cleans method, because of surface tension issues.
  • Laser stripping is a method developed in two modifications by different companies (Oramir, Israel; Radiance, USA). Both use laser energy to remove the photoresist. In one case environmentally critical process gases NF 3 or CF are being added (Oramir), or inert gas is being used (Radiance). There is no indication as of today that these methods will find broad acceptance in the semiconductor industry.
  • the supercritical phase ofthe process chemical is referred to as supercritical fluid.
  • the process substance fills the process chamber completely like a gas with the molecules interacting strongly. This leads to new properties ofthe process material that are crucial to the cleaning process.
  • the supercritical state is characterized by a critical point, which constitutes of a critical pressure p c and a critical temperature T c . At the critical point the density of the vapor and the liquid are identical. A material is in its supercritical state, if both, pressure and temperature are at or exceed the critical values.
  • Supercritical fluids have long been known for their abilities to dissolve organic contaminants. Their ability to display a wide range of solvent characteristics and the ability to tune solubility with small changes in temperature and pressure were identified early on.
  • the gas-like diffusivity and low surface tension combined with liquid-like densities are important since these qualities enhance the cleaning effectiveness on parts, which have very small features (e.g. vias and trenches on semiconductor devices), or contain materials where selectivity of the supercritical fluid to one or the other component is a requirement, for example, between low-k material and photoresist in the fabrication of semiconductor devices; ref. Precision Cleaning With Supercritical fluid: A Case Study, John. E. Giles, et. al. in "Supercritical Fluid Cleaning - Fundamentals, Technology and Applications", John McHardy, Samuel P. Sawan, ed., Noyes Publications, Westwood, NJ, USA, 1998, pp. 198, incorporated herein by reference
  • the invention simply stated, is a process for cleaning precision surfaces such as the cleaning of photoresist off semiconductor wafers as part ofthe semiconductor fabrication process.
  • the process relies on the use of process materials such as carbon dioxide that tend to be good solvents especially at supercritical temperature and pressure, alone or in combination with useful additives such as cosolvents and surfactants selected to shift the critical point downward or improve the cleaning effect.
  • process materials are applied to the substrate preferably exclusively in gaseous and supercritical states so as to avoid the problems associated with liquid contact.
  • Soak and agitation steps are applied to the substrate to aid both chemically and mechanically in the removal ofthe unwanted material from the substrate.
  • the soak step permits infusion ofthe process materials into the unwanted matter at an elevated supercritical pressure.
  • the agitation step includes a rapid decompression ofthe process chamber after the soak period, still within supercritical pressure, in order to mechanically weaken and break loose pieces ofthe photoresist, sidewall polymer and such other materials as are sought to be removed, with a very significant pressure differential. This is combined with a supercritical fluid flush to carry away the loose debris, and is then • preferably concluded by rapidly elevating the vessel pressure back to the higher supercritical pressure, stressing the unwanted material this time with rapid compression.
  • the core process steps are preceded and followed by more conventional loading and unloading steps, except that the purging and pressurization steps avoid any liquid contact with the substrate, constraining the inflowing process materials to process gas and supercritical fluid.
  • supercritical fluids such as carbon dioxide
  • suitable additives such as cosolvents and surfactants
  • Fig. 1 is a rear elevation of a pressure vessel apparatus with which to carry out the process ofthe invention, illustrated with the pressure vessel cover in the up and closed position.
  • Fig. 2 is a rear elevation ofthe apparatus of Fig. 1, with the pressure vessel cover in the down and open position, exposing the wafer.
  • Fig. 3 is a top plan view ofthe pressure vessel apparatus of Fig. 1, the dotted line circles representing the pressure chamber and wafer in process.
  • Fig. 4 is a horizontal cross section view ofthe apparatus of Fig. 1, revealing the process chamber with divergent and convergent fluid flow channels.
  • Fig. 5 is a diagrammatic partial cross section elevation view through the pressure chamber ofthe apparatus of Fig. 1, illustrating the upper and lower heating and cooling platens, and the fluid flow through the chamber.
  • Fig. 6 is a simplified schematic ofthe process fluid supply and recovery system supporting the pressure vessel apparatus of Fig. 1, also relating to Figs. 9 and 10.
  • Fig. 7 is a flow chart ofthe process steps ofthe invention, illustrating the preferred and alternative preferred embodiments ofthe process sequence.
  • Fig. 8 is a phase diagram illustrating the temperature/pressure relationship to the phase or state and the critical pressure/temperature point ofthe preferred embodiment process fluid, carbon dioxide.
  • Fig. 9 is a time line chart of pressure and flow in the pressure vessel ofthe apparatus of Fig. 1, also relating to Figs. 6 and 10, during a sequence of twice repeated soak and agitate steps ofthe invention process, illustrating the rapid decompression and full flow parameters to which the wafer under process is subjected.
  • Fig. 10 is a simplified schematic ofthe principle apparatus components ofthe process fluid supply system affecting the process parameters within the process chamber, as related to Figs. 6 and 9.
  • a novel process is described for supercritical fluid cleaning of precision surfaces of substrates with or without surface features of organic material such as polymers, which includes layers of this material and related residues from various coating and/or reducing operations conducted upon the surface or its features, and inorganic material, which includes foreign particle matter.
  • a method for conducting the supercritical fluid stripping of photoresist and the removal of residues from related processing steps from semiconductor wafers will be described. It will be understood by those who are skilled in the art that the cleaning method is not limited to semiconductor wafers but can be applied to other substrates too.
  • the supercritical fluid cleaning method can be applied at various levels ofthe semiconductor chip manufacturing, from front end to back end with no limitation to materials or size ofthe wafer, where the wafer with the photoresist on it undergoes various treatments, such as ion implantation, etching, and other methods that modify the material in the layer below the photoresist, and the photoresist itself.
  • CO 2 carbon dioxide
  • C0 2 has been selected because of low values for T c (31. FC) and p c (1070.4 psi), which represents the most economical set-up in terms of equipment and operating cost, safety and health aspects and environmental issues.
  • the supercritical state of CO 2 will be called SCCO 2 .
  • the properties ofthe process substance can be modified by additives, which can be either organic solvents, surfactants, other chemicals like chelating agents or mixtures thereof.
  • a solvent added to the CO 2 is called a "cosolvent”, which can consist of more than one chemical to form a binary or a ternary, and so forth, mixture with the CO 2 .
  • process chemical denotes CO 2 or CO 2 + additive as a generic term.
  • an additive is required to reduce the operating pressure ofthe process chemical in its supercritical state to a minimum.
  • the additive supports the cleaning process by introducing the component of chemical interaction.
  • Additives other than solvents, such as surfactants can likewise be included in the process described.
  • single wafer processing as opposed to batch processing is the method of choice because ofthe ever- increasing commercial value ofthe wafer with size and number of manufacturing steps, and because of quality control requirements. Therefore, in the preferred embodiment of the process equipment, a single wafer is being processed. If tolerated by the nature ofthe substrate and the specific cleaning requirements, the process equipment can be modified to hold multiple substrates or cassettes of substrates of various sizes, or other suitable equipment utilized.
  • process chamber 10 consists of a cylindrical cavity with inlet and outlet manifold 12, 14, and lid 30.
  • the process chamber 10 is designed such that an automatic wafer handling system typical to the semiconductor industry can be used to load the wafer into the chamber, but any other wafer loading system including manual loading can be applied too.
  • a master control system for the apparatus shown and the associated fluid supply and recovery system is assumed. In most cases, pressure vessel process control will be further integrated into the control system ofthe wafer processing system with which the pressure vessel is associated.
  • the process chamber 10 is located above the wafer plane in the open cover position (Fig. 2).
  • the process chamber does not move nor does it have any moving parts.
  • the lid 30 carrying an elastomeric type of seal 37 is moved up linearly towards the seal seat.
  • the seal undergoes compression by axial forces only, from beneath the lid. This minimizes greatly the generation of particulates in the proximity ofthe pressure chamber.
  • the seal ofthe lid is located below the wafer plane further eliminating the risk of adding particulates to the wafer upon closing and locking.
  • the locking mechanism is sealed from the process chamber by a bellows 38. There are no moving parts ofthe apparatus exposed below, in or above the plane ofthe wafer other than the lid and bellows, during the opening, closing and locking procedures. Suitable locking mechanisms are described in the priority documents cited above.
  • the process chemical enters the process chamber 10 through a first manifold block 12 and is directed through a divergent flow path 22 into the chamber cavity 20.
  • a convergent flow path 24 directs the CO 2 out and into a second manifold block 14.
  • the geometries ofthe flow paths and process chamber provide a well distributed flow pattern through the chamber insuring that the full surface area ofthe wafer is exposed to flow. For a design maximum flow rate of 8 lb/min of process chemical for the preferred embodiment apparatus, the internal height and volume ofthe chamber cavity has been chosen to prevent the flow from choking.
  • the manifold blocks 12 and 14 serve multiple functions. CO 2 supply lines for process chamber inlet 11 and outlet 13 are connected with the manifold blocks.
  • one block holds a thermocouple 26 to measure the temperature in the chamber close to the wafer.
  • the other one has a window 15 to optically monitor the wafer during the process.
  • the symmetry ofthe internal chamber design permits fluid flow in either direction, with suitable line switching capability, so that the process effects can be applied singularly or alternately from either direction across the wafer under process.
  • the chamber can be closed off with shut-off valves 52, 54 (Fig. 6) at inlet 13 and outlet 11 (Fig. 3). A soak step will be realized this way.
  • Inlet and outlet valves can be individually opened or closed by the master control system.
  • the process chamber 10 is equipped with heater platens 32 and 33 that provide for controlling the temperature in the process chamber.
  • the outer diameter ofthe platens is slightly smaller than the inner diameter ofthe process chamber so that the platens can be replaced if needed.
  • the lower platen 32 is mounted to the chamber lid 30.
  • Wafer mounting studs 34 are mounted on platen 32.
  • the upper platen 33 is mounted to the ceiling ofthe chamber cavity 20. The platens can be heated and cooled simultaneously or set to different temperatures.
  • the wafer is located between the platens, preferably in the middle between the platens (Fig. 5) when the chamber is closed.
  • the maximum pressure cavity temperature is 150°C. Therefore the wafer will never be heated to a temperature above 150°C, which is beneficial for semiconductor wafer manufacturing in so far as this process does not add to the thermal budget ofthe wafer.
  • Changing the temperature ofthe platens is achieved by changing the temperature ofthe heating/cooling medium (Fig. 5).
  • the heating/cooling loop consists of a heater set to a desired high temperature ( ⁇ 150°C) and a chiller set to a desired low temperature (>25°C). Applying heating/cooling medium from the respective reservoir simply changes the temperature in the process cavity from hot to cold or vice versa quickly.
  • a temperature change ofthe platens 32 and 33 of from 25°C to 150°C can be performed in about one (1) minute.
  • the platens to control the process temperature in the chamber rather than heating the whole chamber mass provides a means of quickly changing phases ofthe process chemical during the process. Therefore, the described heating/cooling platens enable better control of an additional process variable, the process temperature T, in addition to the pressure p. Varying the process temperature of both platens simultaneously allows switching between supercritical and liquid states while holding the pressure ⁇ > p c . Setting the platens to different temperatures can be done to generate convective currents in the process fluid.
  • the wafer is normally loaded and rests face up horizontally on three studs 34 mounted to the lower platen 32.
  • the wafer can be loaded and processed face down, providing a gravitational component to the cleaning process for preventing loose particulate matter from contacting or re-depositing on the critical surface.
  • the studs separated by 120° (see also Fig. 4), feature edge restraints such that the wafer cannot skid off of them in the horizontal plane by the drag ofthe process chemical while it flows through the process chamber.
  • the studs have counter parts 36 mounted to the upper platen 33 at exact the same positions as the lower studs. When the chamber is closed upper and lower studs build a vertically oriented rod with a slit to hold the wafer.
  • the midlevel holding arrangement of studs 34 and 36 provide effective cleaning of both sides ofthe wafer.
  • pressure ⁇ [psi] and temperature T [°C] are process parameters and are most usefully measured in the chamber of this apparatus.
  • Other process parameters are flow rate m [lb/min] or V [cm 3 /min], amount of additive n [mol%] or [cm 3 /min], and time t [sec].
  • the temperature and the lower pressure are selected such that the process chemical is kept at supercritical state at all times.
  • the higher pressure is selected to provide a higher solubility of polymer components in CO2 and a maximum pressure differential during decompression, within the safe limits ofthe mechanical system.
  • the pressure ratio being preferably at least 2:1.
  • the process chemical can be supplied by the supply system in 6 variations: (i) gaseous CO2, (ii) supercritical CO 2 , (iii) supercritical CO 2 + cosolvent, (iv) supercritical CO 2 + surfactant, (v) liquid CO 2 , (vi) liquid CO 2 + surfactant.
  • the supply system 50 includes a storage tank 56, which is part ofthe CO 2 delivery system 50, containing high purity CO2, a flow meter 58, a liquid CO 2 pump 60, three supercritical lines 62, 72, 82, two gas lines 94 and 92, and one liquid line 102. All lines merge into one single line, which is the inlet 13 ofthe process chamber 10.
  • the pressure in the system and specifically in the process chamber is built up by a backpressure regulator 124 that is part ofthe outlet line ofthe process chamber.
  • the process chemical expands behind the backpressure regulator into a separator tank 126 such that the CO evaporates and the additive is collected.
  • the separator is designed to avoid dry ice formation and plugging of lines.
  • Inlet and outlet lines ofthe process chamber are equipped with shut-off valves 52 and 54, located close to the process chamber.
  • the preferred pressure is 800 psi and is maintained by control of temperature.
  • the desired temperature is close to 20°C at which the CO 2 has a vapor pressure of 800 psi. Any other temperature and pressure setting below the critical point can be chosen.
  • inlet and outlet lines ofthe process chamber 10 are heated to the desired process temperature as indicated by the dotted lines in Fig. 6.
  • the CO 2 delivery system 50 allows charging the process chamber 10 with either the process chemical in the gas, the liquid or the supercritical state. Pressurizing the process chamber with supercritical process chemical is desired for some processes such as dry resist develop. Using supercritical process chemical instead of liquid one prevents pattern collapse of high aspect ratio structures, as noted in Environmental News, Vol. 35, Issue 7, pp. 140A - 141 A, which is incorporated herein by reference.
  • the preferred embodiment cleaning method consists of 6 basic process steps:
  • Process steps 1 and 6 are necessary pre and post process steps to the core steps of the process; fill, soak, agitate, and rinse.
  • These principle process steps 2 through 5, or alternatively, steps 3 and 4 or step 4, within the sequence of steps 2 to 5, can be repeated as many times as needed in a process cycle to satisfy the user's requirement.
  • the soak and agitation steps are fundamental to the cleaning process ofthe invention, and that repetition of these two steps or of other combinations ofthe basis process steps will provide additional cleaning effect to the wafer under process.
  • steps 3 and/or 5 may be skipped in one or more iterations ofthe sequence of a full process cycle.
  • Process parameters can be modified between or during a process cycle, and the process chemicals can be varied if required, as well.
  • the 6 process steps ofthe invention describe a "one step" dry-to-dry process that combines photoresist stripping, residue removal, and drying.
  • lid 30 moves in a linear motion towards the process chamber 10. While the lid is moving, gaseous CO 2 flows through a dedicated line 92 into the process chamber with the outlet valve 54 closed.
  • the gap between the seal and the seal seat is getting smaller. Gaseous CO 2 is now leaving the process chamber through this gap generating a forced flow of CO 2 gas from the inside towards the outside ofthe chamber through the gap.
  • the flow of CO 2 gas into the process chamber is adjustable but kept constant by means of a mass flow controller 91. Therefore, with the decreasing gap between seal and seal seat, the CO 2 flow through the gap from the inside ofthe process chamber to the outside is increasing in velocity.
  • the outflow velocity reaches its maximum in the moment just before the lid is locked to close the chamber, i.e. when the gap is reduced to a minimum.
  • This gaseous CO 2 flow carries any particulates that may be generated when the seal touches its seat away from the wafer and the chamber. The wafer is thus prevented from being contaminated by particulates of this source.
  • the gaseous CO 2 pressure is a variable but typically limited to 28 psi by a pressure regulator 90.
  • the outlet valve 54 ofthe chamber as well as valve 128 and backpressure regulator 124 will be opened and the chamber will be purged with a continuous flow of gaseous CO 2 to replace the air that is trapped in it.
  • the process control parameter is the purge time. Pressure and flow rates of gaseous CO 2 are preset.
  • the gas supply system Prior to pressurization ofthe chamber, the gas supply system is conditioned such that all supply lines 62, 72, 82 are filled with CO 2 and are set to a pressure of 800 psi up to the inlet valve ofthe process chamber.
  • the temperature ofthe system which includes the heater platens 32 and 33 in the process chamber, will be set to the desired operating temperature To with To > T c , and the outlet valve 54 ofthe process chamber is closed.
  • the process chamber is then charged with gaseous CO 2 through another dedicated line 94 out ofthe CO 2 storage tank 56 and pressurized to a pressure of 800 psi, which is the equilibrium pressure ofthe CO 2 vapor in the storage tank.
  • the preferred embodiment apparatus provides two lines, one, 92, for purge, and another, 94, for pressurization.
  • the second gaseous CO 2 line is directly connected with the CO 2 storage tank and has a valve arrangement for shut-off, 96, and variable flow, 95. With increasing pressure in the process chamber, the flow through this line is being increased continuously to minimize the time to pressurize till finally the line connecting the storage tank and the process chamber is completely open with the pressure in the process chamber being at 800 psi or at the same pressure as the CO 2 vapor in the storage tank 56.
  • the gaseous supply line is then closed.
  • the pressure chamber 10 is being pressurized with CO 2 in gas and supercritical phase, avoiding the liquid phase.
  • lines 62, 72, and 102 are closed.
  • Line 82 and inlet valve 52 will be opened once the pressures on both sides ofthe inlet valve 52 are equal and at 800 psi.
  • Inlet valve 54 is then opened and the CO 2 pump 60 delivers liquid CO 2 into line 82.
  • the CO 2 is transferred into its gas state on its way through the heater 51 as indicated by the arrow in Fig. 8.
  • the pressure Upon material transport into the pressure chamber its pressure will be increasing. Since the temperature in the heated supply line and in the process chamber is at To > T c , the CO2 in the process chamber initially remains a gas.
  • the CO 2 in the chamber is transferred into its supercritical state.
  • the pressurization sequence ends once pressure po is reached.
  • the process chamber is now charged with supercritical CO 2 with the CO 2 in its supercritical state.
  • the time budget for this step ofthe preferred embodiment method is 70 seconds, although longer or shorter times may be appropriate, depending on the wafers to be cleaned, the selected process fluids and parameters, and various equipment limitations.
  • the backpressure valve 124 is preset to the desired operating pressure po. Once the pressure in the pressure chamber has reached po, the outlet valve 54 is opened and the supercritical CO 2 flows continuously through the pressure chamber at the desired operating pressure po, temperature To, and constant flow m 0 monitored by the flow meter 58. To prepare for the fill step, line 82 is closed and line 62 is opened simultaneously with the CO 2 now flowing through the cosolvent line. Referring to fig. 10, flow of process chemical is maintained constant by a PID loop connecting flow meter 58 and pump 60. The pressure is controlled by a PID loop comprising back pressure regulator and pressure transducer reading the pressure in the process chamber.
  • the cosolvent metering pump 61 is set to deliver an amount n in cm 3 /min of cosolvent into the flow of CO 2 to achieve a concentration of preferably 2 to 8 vol%.
  • the mixture of CO 2 and cosolvent called the process chemical, is transformed into its supercritical state while passing through heater 51. It enters the process chamber through heated lines, which maintain the supercritical state during flow.
  • the critical point ofthe binary process chemical shifts towards higher values as compared to pure CO 2 .
  • the supercritical CO 2 ofthe process chamber from the previous pressurization step can be replaced in less than 10 seconds, however the preferred embodiment method budgets 40 seconds for this step.
  • Figs. 6 and 9 there is shown a chart for process and flow as a function of time for repetitive soak and agitation steps 3 and 4. These steps can be run once in a process cycle, or with any number of repetitions, in accordance with the user's requirement.
  • outlet valve 54 is opened to apply rapid decompression to the chamber; backpressure regulator 124 having been previously set to full open so as to make the decompression as rapid as the conductance of valve 54 and the lines permit.
  • the rapid decompression step at ti the photoresist and sidewall polymer material, having been previously infused with CO 2 and cosolvent under the higher pressure po during the soak step, is now subjected to a dramatic stress of internal to external pressure differential, inducing a mechanical rendering, breaking up and loosening ofthe structure ofthe photoresist and the sidewall polymer as the CO 2 and cosolvent is evacuated.
  • Initializing the rapid decompression is accompanied by an initial surge of outflow of process fluid.
  • inlet valve 52 is opened to introduce an inflow d ⁇ pi that will sustain the rate of outflow, thus providing a full flow washing action through the process chamber over the wafer to lift and flush the loosened and loosening material during the decompressive rendering.
  • the inflow mixture can be altered during this decompressive flush, if desired, for the repetitive soak and agitation sub cycle that is illustrated here.
  • feed line 62 has to be prepared to start delivery at pi for the agitation step that follows. Therefore during soak with inlet valve 52 and outlet valve 54 closed, line 62 is bled to/?; through the bypass line 122 and valve 123 with backpressure valve 124 set to/?;. Once/?; is reached, valve 123 closes and backpressure valve 124 is set to full open.
  • the agitation step starts at t; as outlet valve 54 is opened and the pressure in the process chamber drops quickly towards ?;.
  • inlet valve 52 is opened and supercritical CO 2 at flow rate m 0 is delivered through line 62 into the process chamber to sustain the rate of outflow.
  • backpressure valve 124 is set to/?; and the flow continues through the process chamber at the lower pressure.
  • the composition of the process chemical can be altered if required at any time before or during this flow by opening alternative feed lines 72 or 82 as desired.
  • outlet valve 54 is closed causing the pressure in the process chamber to rise till po is reached at t ⁇ , the end ofthe agitation step, at which time inlet valve 52 is shut off to terminate the flow and to reset the clock to to for the next soak step.
  • the time budget for the agitation step for the preferred embodiment method is 20 seconds, but again, the time is variable depending on all other variables and the user's requirement.
  • Rinsing can be performed either in the liquid or the supercritical state with pure CO2 or CO 2 + additive, e.g. surfactant. If a rinse in the liquid state of CO 2 is to be performed, the temperature in the process chamber and inlet and outlet lines have to be dropped below T c . Rinsing is then performed by pumping liquid CO 2 or liquid CO 2 mixture through line 102. The pressure in the pressure chamber is maintained at ? > p c .
  • the preferred embodiment method time budget for this step is 30 seconds, subject to the same variables as other steps.
  • Drying is performed by venting the chamber to atmosphere pressure using backpressure valve 124 as a variable orifice to control the pressure decrease.
  • backpressure valve 124 as a variable orifice to control the pressure decrease.
  • inlet valve 52 and inlet valve 54 are closed and the temperature in the process chamber is increased to bringing the CO 2 into the supercritical state. If during the rinse step the pressure was allowed to go below p c the pressure is first increased to above p c before the temperature is increased, in order to insure that during temperature increase the liquid-gas boundary is not crossed accidentally. Once the pressure chamber has been vented to atmosphere pressure, gaseous CO 2 is applied as during the opening procedure for the same reason as in the closing procedure. Unloading and reloading for the next process cycle is then initiated.
  • the time budget for this step ofthe preferred embodiment method, ending when the wafer is unloaded, is 45 seconds, but is again subject to the same variables as in other steps.
  • the invention is susceptible of other embodiments.
  • there is a supercritical fluid cleaning process for cleaning precision surfaces on substrates such as semiconductor wafers consisting ofthe steps of: (a) Selecting process materials consisting of a process gas which is convertible at a critical point of temperature and pressure to a supercritical fluid for cleaning.
  • (c) Loading, closing and sealing at least one substrate with a precision surface in a pressure vessel, where the vessel is connected to a source of process gas and a source of supercritical fluid and has at least one port for exhausting byproducts of said process.
  • the vessel is also configured with heat exchanger platens or the like for heating the interior ofthe vessel.
  • the vessel has independent means such as inlet and outlet valves for isolating the vessel from inflow ofthe process materials and outflow ofthe process byproducts.
  • the vessel in this embodiment may also be connected to a source of supercritical fluid mixture consisting ofthe supercritical fluid and selected additives in solution, where the process includes a further step (d.l) of filling the vessel with the supercritical fluid mixture so as to replace the supercritical fluid, and consequently in step (f), flushing the supercritical fluid mixture with either supercritical fluid, or fluid with such additives as desired, to be then followed by another iteration of agitation, soak and agitation, or by advancing to the rinse step.
  • the core process steps of filling through agitating may be repeated one or more times in a given process cycle.
  • the preferred process gas is carbon dioxide, but other process gases may be used.
  • the process is expected to be conducted in repetitive cycles with a processed substrate being unloaded and a new substrate being loaded in a shared step between consecutive cycles.
  • the vessel may be configured as an inverted vessel with an underside vertically operated lid upon which the substrate is loaded for processing.
  • Other embodiments may accommodate multiple substrates in stacks, arrayed in a common plane, or otherwise oriented to fit in the vessel and be effectively cleaned by process mechanisms.
  • the vessel heaters may be one or more heat exchanger platens in the vessel, preferably two platens between which the substrate is secured for processing. While the substrate may be processed with the precision side up, the process may be conducted with double sided substrates or with the precision side down.
  • the vessel may have a divergent inflow channel and a convergent outflow channel to facilitate an effective flow pattern across and around the substrate. Further, the vessel and fluid supply and recovery system may be configured for reversing the direction of flow ofthe process materials through the vessel, even during the process cycle if bi-directional flow action across the substrate is desired for more effective cleaning.
  • the vessel may also be connected to a source of a process gas mixture of process gas and selected additives, for which the process can incorporate additional or alternate steps to employ in the process, as by varying pressure between supercritical and gas states while holding temperature at supercritical level so as to avoid entering a liquid state condition.
  • the vessel may be connected to a source of process gas in liquid state, for circumstances when having a liquid contact the substrate is useful.
  • (c) Loading, closing and sealing at least one substrate with a precision surface in a pressure vessel, where the vessel is connected to a source of process gas, a source of supercritical fluid, and a source of supercritical fluid mixture consisting of supercritical fluid and additives.
  • the vessel has at least one port for exhausting byproducts ofthe process, and is configured with upper and lower heat exchanger platens for heating the interior. It has independent means for isolating the interior from inflow of process materials and outflow of byproducts.
  • Opening the vessel and unloading the substrate may incorporate a low pressure outgassing of process gas to facilitate removal of any remaining particulate matter through the lid opening.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
PCT/US2001/015999 2000-05-18 2001-05-18 Supercritical fluid cleaning process for precision surfaces WO2001087505A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2001583954A JP2004510321A (ja) 2000-05-18 2001-05-18 精密な表面のための超臨界流体洗浄プロセス
AU2001263231A AU2001263231A1 (en) 2000-05-18 2001-05-18 Supercritical fluid cleaning process for precision surfaces

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US20533500P 2000-05-18 2000-05-18
US60/205,335 2000-05-18
US09/632,770 2000-08-04
US09/632,770 US6508259B1 (en) 1999-08-05 2000-08-04 Inverted pressure vessel with horizontal through loading
US09/665,932 2000-09-20
US09/665,932 US6334266B1 (en) 1999-09-20 2000-09-20 Supercritical fluid drying system and method of use
US26791601P 2001-02-09 2001-02-09
US60/267,916 2001-02-09
US09/837,507 US6612317B2 (en) 2000-04-18 2001-04-18 Supercritical fluid delivery and recovery system for semiconductor wafer processing
US09/837,507 2001-04-18

Publications (1)

Publication Number Publication Date
WO2001087505A1 true WO2001087505A1 (en) 2001-11-22

Family

ID=27539517

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/015999 WO2001087505A1 (en) 2000-05-18 2001-05-18 Supercritical fluid cleaning process for precision surfaces

Country Status (3)

Country Link
JP (1) JP2004510321A (ja)
AU (1) AU2001263231A1 (ja)
WO (1) WO2001087505A1 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1358021A1 (en) * 2000-08-04 2003-11-05 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
JP2005020011A (ja) * 2003-06-26 2005-01-20 Samsung Electronics Co Ltd 基板からフォトレジストを除去するための装置及び方法
WO2005005035A1 (en) * 2003-07-10 2005-01-20 L'air Liquide-Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Purification and recovery of fluids
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
JP2007500940A (ja) * 2003-07-29 2007-01-18 東京エレクトロン株式会社 処理チャンバ内のみへの処理化学物質のフローの制御
US7195676B2 (en) 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
DE102005034634B3 (de) * 2005-07-25 2007-03-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Werkzeug zur Reinigung von Kavitäten
US7211553B2 (en) 2003-08-05 2007-05-01 Air Products And Chemicals, Inc. Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
US7267727B2 (en) 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US7507297B2 (en) 2002-05-20 2009-03-24 Panasonic Corporation Cleaning method and cleaning apparatus
CN114247685A (zh) * 2021-12-17 2022-03-29 张家港声芯电子科技有限公司 一种芯片清洗装置及清洗方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
HUE06751815T2 (hu) * 2005-04-29 2017-04-28 Univ Michigan Regents Eljárás fém szuperkritikus szén-dioxid alapú kenésére

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5169408A (en) * 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5314574A (en) * 1992-06-26 1994-05-24 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
US5368171A (en) * 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US6090217A (en) * 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6092538A (en) * 1996-09-25 2000-07-25 Shuzurifuresher Kaihatsukyodokumiai Method for using high density compressed liquefied gases in cleaning applications

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5169408A (en) * 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5314574A (en) * 1992-06-26 1994-05-24 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
US5368171A (en) * 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US6092538A (en) * 1996-09-25 2000-07-25 Shuzurifuresher Kaihatsukyodokumiai Method for using high density compressed liquefied gases in cleaning applications
US6090217A (en) * 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1358021A4 (en) * 2000-08-04 2004-03-31 S C Fluids Inc INVERTED PRESSURE TANK WITH SHIELDED LOCKING MECHANISM
EP1358021A1 (en) * 2000-08-04 2003-11-05 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US7507297B2 (en) 2002-05-20 2009-03-24 Panasonic Corporation Cleaning method and cleaning apparatus
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7267727B2 (en) 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
JP4489513B2 (ja) * 2003-06-26 2010-06-23 三星電子株式会社 基板からフォトレジストを除去するための装置及び方法
JP2005020011A (ja) * 2003-06-26 2005-01-20 Samsung Electronics Co Ltd 基板からフォトレジストを除去するための装置及び方法
WO2005005035A1 (en) * 2003-07-10 2005-01-20 L'air Liquide-Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Purification and recovery of fluids
JP2007500940A (ja) * 2003-07-29 2007-01-18 東京エレクトロン株式会社 処理チャンバ内のみへの処理化学物質のフローの制御
US7211553B2 (en) 2003-08-05 2007-05-01 Air Products And Chemicals, Inc. Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
US7195676B2 (en) 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
DE102005034634B3 (de) * 2005-07-25 2007-03-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Werkzeug zur Reinigung von Kavitäten
US8262803B2 (en) 2005-07-25 2012-09-11 Fraunhofer-Gesellschaft zur Forderüng der Angewandten Forschung e.V. Method and tool for cleaning cavities
CN114247685A (zh) * 2021-12-17 2022-03-29 张家港声芯电子科技有限公司 一种芯片清洗装置及清洗方法
CN114247685B (zh) * 2021-12-17 2022-12-20 张家港声芯电子科技有限公司 一种芯片清洗装置及清洗方法

Also Published As

Publication number Publication date
AU2001263231A1 (en) 2001-11-26
JP2004510321A (ja) 2004-04-02

Similar Documents

Publication Publication Date Title
US6602349B2 (en) Supercritical fluid cleaning process for precision surfaces
US20040003831A1 (en) Supercritical fluid cleaning process for precision surfaces
JP3978023B2 (ja) 高圧処理方法
JP5450494B2 (ja) 半導体基板の超臨界乾燥方法
US7080651B2 (en) High pressure processing apparatus and method
JP5620234B2 (ja) 半導体基板の超臨界乾燥方法および基板処理装置
CN103456664B (zh) 用于干燥基板的装置和方法
JP5843277B2 (ja) 半導体基板の超臨界乾燥方法及び装置
JP6005702B2 (ja) 半導体基板の超臨界乾燥方法および基板処理装置
WO2001087505A1 (en) Supercritical fluid cleaning process for precision surfaces
TW201201263A (en) Supercritical processing apparatus and supercritical processing method
JP2007524228A (ja) 自動化された高密度相流体洗浄システム
JP2013055230A (ja) 半導体基板の超臨界乾燥方法
JP4848376B2 (ja) 高圧処理システム用超臨界流体均質化方法及びシステム
JP2008530795A (ja) フルオロケイ酸を用いて高圧流体で基板を処理する方法およびシステム
WO2003024630A1 (en) Method and apparatus for cleaning substrates using liquid carbon dioxide
JP5252918B2 (ja) 超臨界流体に化学物質を注入する方法及びシステム
US7524383B2 (en) Method and system for passivating a processing chamber
JP2006279037A (ja) 流体からの汚染物質の除去
KR100454242B1 (ko) 웨이퍼 건조 방법
KR20050001797A (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
JP2005142558A (ja) 半導体基板の洗浄及び乾燥システム及びそれを利用した洗浄及び乾燥方法
US20060186088A1 (en) Etching and cleaning BPSG material using supercritical processing
KR102228517B1 (ko) 기판처리장치 및 이를 이용한 기판처리방법
JP6742887B2 (ja) 基板処理方法、基板処理装置および記憶媒体

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase