WO2000075972A1 - Appareil de traitement sous vide - Google Patents

Appareil de traitement sous vide Download PDF

Info

Publication number
WO2000075972A1
WO2000075972A1 PCT/JP2000/003597 JP0003597W WO0075972A1 WO 2000075972 A1 WO2000075972 A1 WO 2000075972A1 JP 0003597 W JP0003597 W JP 0003597W WO 0075972 A1 WO0075972 A1 WO 0075972A1
Authority
WO
WIPO (PCT)
Prior art keywords
vacuum processing
shutter
processing chamber
plasma
processing apparatus
Prior art date
Application number
PCT/JP2000/003597
Other languages
English (en)
Japanese (ja)
Inventor
Jun Hirose
Jun Ozawa
Tomoya Okubo
Tatsuya Fuji
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to JP2001502151A priority Critical patent/JP4547119B2/ja
Publication of WO2000075972A1 publication Critical patent/WO2000075972A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Definitions

  • the present invention relates to a vacuum processing apparatus for performing film formation or etching on a substrate to be processed by a semiconductor manufacturing technique using plasma.
  • a substrate to be processed is placed in a processing chamber that is evacuated by an exhaust system to be in a vacuum state.
  • a glass substrate for a liquid crystal or a semiconductor wafer is placed on the surface of the substrate.
  • Plasma processing apparatuses such as a CVD (chemica 1 vapor deposition) apparatus for forming a thin film using a plasma and a RIE (reactiveionetching) apparatus for performing selective etching are known.
  • FIG. 10 shows a schematic configuration of a conventional plasma processing apparatus.
  • the plasma processing apparatus 1 includes a cylindrical processing chamber 2 that is evacuated by an exhaust system (not shown). Inside the processing chamber 2, a driving shaft 3 such as a ball screw is provided. Stage 4 is supported. The stage 4 is flat so that a substrate 5 to be processed (a glass substrate for liquid crystal, a semiconductor wafer, or the like) 5 is placed thereon. Further, a bellows 6 is provided between the lower part of the stage 4 and the bottom of the vacuum processing channel 2 so as to surround the drive shaft 3 so as to be airtight, and this bellows 6 is provided. The inside of the chamber communicates with the outside and becomes atmospheric pressure.
  • a transfer port 7 that can be opened and closed by a gate valve is provided.
  • a substrate to be processed which is held in a transfer arm (not shown) from outside, is loaded and placed on the stage 4 or processed. The substrate to which the processing is applied is carried out.
  • the portion of the transfer port 7 is a recess when viewed from the inner peripheral wall surface of the processing chamber, and when plasma is generated in this state, the uniformity of the plasma density is disturbed. And for example, when applied to a CVD device, problems such as uneven distribution of the film thickness deposited on the substrate to be processed occur.
  • the stage 4 which can be moved up and down as described above is provided, and when the object is loaded and unloaded, it is moved slightly below the transfer port 7 as shown by a two-dot chain line in FIG. Then, the transfer arm is used to remove the substrate 5 to be processed, and after being placed, the stage 4 is raised to prevent the transfer port 7 from being caught by the plasma in which the concave portion is generated. And then
  • the plasma processing apparatus having the vertically movable stage 4 requires a space to be vertically moved in the processing chamber, and the height of the processing chamber 2 is reduced. It needs to be large. This leads to a problem that the entire processing apparatus becomes large.
  • a gap 8 is provided between the inner wall of the processing chamber 2 and the inner wall of the processing chamber 2 to move the stage 4 up and down.
  • the plasma density is disturbed through the gap 8 to the lower side of the stage 4, which causes disturbance in the plasma density.
  • a substrate to be processed is taken from a plasma outlet in a chamber.
  • a plurality of magnetic bodies are arranged so as to surround the space up to and the lines of magnetic force are formed in a direction perpendicular to the plasma flow to control the diameter of the plasma flow. I'm doing it.
  • the plasma when plasma is generated, the plasma is prevented from entering the transfer port for loading and unloading the substrate to be processed, and the plasma is prevented from being disturbed, thereby enabling a uniform plasma processing. Further, it is an object of the present invention to provide a vacuum processing apparatus which is structurally simple and can reduce the size of the apparatus.
  • the present invention provides a vacuum processing chamber having a stage on which a substrate to be processed is placed, and a peripheral wall forming the vacuum processing chamber. And a transfer port for loading and unloading the substrate to be processed, thereby generating plasma in the vacuum processing chamber and performing plasma processing on the substrate to be processed on the stage. When plasma is generated in the processing chamber, the transfer is performed.
  • a vacuum processing apparatus provided with a shutter for closing a mouth and preventing disturbance of plasma.
  • the shutter is a cylindrical body along the inner peripheral wall of the vacuum processing chamber.
  • the shutter is driven by a shutter driving mechanism. And lifts to close the transport b.
  • the shutter is a plate-like body along the inner peripheral wall of the vacuum processing chamber, and when plasma is generated in the vacuum processing chamber, the shutter is driven by a shutter driving mechanism. Ascends and closes the transport port.
  • the shutter drive mechanism includes a cylinder mounted on the atmosphere side, and a drive shaft that moves up and down by the air cylinder to move up and down the shutter.
  • the shutter when plasma occurs, the shutter is raised by an air cylinder, and the substrate to be processed is transferred into and out of the vacuum processing chamber.
  • the mouth By closing the mouth with a shutter and removing irregularities on the inner peripheral wall of the vacuum processing chamber, plasma disturbance is eliminated and uniform plasma processing can be performed. You.
  • FIG. 1 is a diagram showing a vertical cross-sectional structure of a vacuum processing apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a front view of the shutter driving device according to the first embodiment.
  • FIG. 3 is a perspective view of the shutter according to the first embodiment.
  • FIG. 4 is a cross-sectional structure of a processing chamber according to the second embodiment.
  • Figure 5 is a Ru perspective view der City catcher jitter driving device of the second embodiment c
  • FIG. 6 is a view showing a vertical sectional structure of a vacuum processing apparatus according to the third embodiment.
  • FIG. 7 is a perspective view of the shutter driving device according to the third embodiment.
  • FIGS. 8A and 8B are views showing a cross-sectional structure of the shutter driving device according to the third embodiment.
  • FIG. 9 is a diagram illustrating a cross-sectional structure of a shutter driving device according to a modified example of the third embodiment.
  • FIG. 10 is a diagram showing a vertical sectional structure of a conventional vacuum processing apparatus.
  • FIG. 1 is a vertical sectional front view of a vacuum processing apparatus
  • FIG. 2 is a front view of a shutter driving device
  • FIG. 3 is a perspective view of a shutter. is there.
  • the processing chamber 11 constituting the main body of the vacuum processing apparatus is formed of a conductive material such as aluminum, and has a ring-shaped interior.
  • the upper and lower walls are partitioned by a partition wall 13, and the upper part is provided as a vacuum processing chamber 14 and the lower part is provided as an atmosphere chamber 15.
  • a stage 16 is provided at the center of the partition wall 13.
  • the upper surface of stage 16 is made of ceramic or quartz.
  • An insulating member is provided, and serves as a mounting surface 16a on which a glass substrate for liquid crystal or a substrate 17 to be processed such as a semiconductor wafer is mounted.
  • the stage 16 is made of, for example, aluminum or the like whose surface is anodized (anodized), and has a heated part such as a ceramic heater inside.
  • a temperature control mechanism and a temperature sensor (both are not shown) such as a refrigerant passage and the like are provided.
  • the substrate 17 to be processed is carried into the mounting surface 16a by a transfer arm (not shown) into a part of the peripheral wall of the processing chamber 11 constituting the vacuum processing chamber 14 ⁇
  • a transfer port 18 for carrying out is provided.
  • the transfer port 18 is opened in a flat rectangular shape along the circumferential direction of the processing chamber 11 and a projecting port 19 integrally protruding outward from the opening edge. have.
  • a shutter 20 along the inner peripheral wall thereof is provided at the elevating and lowering position.
  • the shutter 20 is made of the same conductive material as aluminum as the processing chamber 11 and has both ends open. It is a cylindrical body, the height of the peripheral wall of which is formed to have a size sufficient to close the transfer port 18, and is raised and lowered by a shutter drive mechanism 21 described later.
  • the shutter 20 has a built-in electric heater 20a, which prevents heat loss, improves processing efficiency, and suppresses the adhesion of reactive products. It has the function of extending the maintenance cycle.
  • the potential of the shutter 20 is grounded.
  • An air cylinder 22 is attached to the lower side of the processing chamber 11 1 in the air chamber 15 side by a mounting tool 23 in a vertical direction.
  • a ring-shaped elevating plate 25 is fixed to the elevating opening 24 of the air cylinder 22 in a horizontal state.
  • a plurality of drive shafts 26 are provided on the lifting plate 25 in a vertical direction, and the shutter 20 is fixed to the upper end of the drive shaft 26 by screws. Let's do it.
  • the drive shaft 26 is provided with a slide in the guide hole 27 extending through the partition wall 13 in the axial direction.
  • the seal member 28 and the slide are provided in the guide hole 27.
  • a dove ring 29 is provided.
  • the elevator 20 is moved up and down by the air cylinder 22, whereby the shutter 20 is moved up and down via the elevator plate 25 and the drive shaft 26.
  • the transfer port 18 is opened by the shutter 20, and in the raised position, the transfer port 18 is closed by the shutter 20, and the vacuum processing chamber 1 is closed.
  • the uneven wall and flat surface are formed on the peripheral wall of No.4. Also, the shutter 20 functions as a depot shield.
  • the elevator port 24 is lowered by the air cylinder 22.
  • the shutter 20 is lowered and retracted via the elevator plate 25 and the drive shaft 26.
  • the transfer port 18 is opened.
  • the substrate 17 to be processed gripped by the transfer arm is carried into the vacuum processing chamber 14 from the transfer port 18 and is placed on the mounting surface 16 a of the stage 16. Is placed.
  • the transfer port 18 is opened by a gate valve (not shown).
  • the vacuum processing chamber 14 is evacuated to a vacuum state.
  • the interior of the vacuum processing chamber 14 may be previously evacuated.
  • a process gas is introduced into the vacuum processing chamber 14.
  • the shutter 20 is raised via the lifting plate 25 and the drive shaft 26. To close transfer port 18. Thereby, a flat surface without irregularities is formed on the peripheral wall of the vacuum processing chamber 14.
  • plasma is generated in the vacuum processing chamber 14 to subject the substrate 17 to be processed to plasma processing.
  • the plasma generation region is taken into a cylindrical shape.
  • the shutter 20 has no irregularities, there is no deviation in the plasma flow, and even if the substrate 17 is a large-diameter substrate, the film is formed by plasma CVD as an example. If this is the case, uniformity of the plasma processing can be obtained, such as a uniform film thickness distribution.
  • the stage 16 does not need to be moved up and down, and only the shutter 20 needs to be moved up and down in the vacuum processing chamber 14. Therefore, the height of the vacuum processing chamber 14 can be reduced. In addition, it is possible to reduce the size of the device, save energy, and reduce costs.
  • FIG. 4 is a cross-sectional plan view of a processing chamber 11 constituting the vacuum processing chamber 14, and FIG. 5 is a perspective view of a shutter driving device.
  • the same components as those of the first embodiment described above are denoted by the same reference numerals, and detailed description thereof will be omitted. You.
  • a transfer port 30 is opened in a flat rectangular shape along the circumferential direction, and the transfer port 30 is opened. Is provided with a lower opening 30a.
  • a gate 31 for opening and closing the transfer port 30 so as to hermetically close the transfer port 30 is provided at the elevating position.
  • the gate 31 is made of the same conductive material as aluminum as that of the processing channel 11 and has a size to close the opening of the transfer port 30. It is a rectangular plate and is curved to the same curvature as the peripheral wall of the processing chamber 11.
  • the gate 31 is connected to a lifting port 24 of an air cylinder 22 provided on the side of the air chamber 15 below the processing chamber 11 so as to be moved up and down. Let's do. Then, at the lower position of the lifting rod 24, the gate 31 is lowered to open the transfer port 30, and at the upper position, the gate 31 seals the transfer port 30. Blockade. As a result, the peripheral wall of the vacuum processing chamber 14 has no irregularities.
  • the gate 31 that opens and closes the transfer port 3 ⁇ needs to be driven up and down, and there is no unevenness on the peripheral wall surface of the vacuum processing chamber 14, similarly to the above-described shutter.
  • the gate 31 can be formed to be small and lightweight, and the air cylinder 22 can be reduced in size.
  • FIG. 6 shows a vertical front view of the vacuum processing apparatus of the present embodiment.
  • the processing chamber 41 constituting the main body of the vacuum processing apparatus is formed of a conductive material such as aluminum, and the inside thereof is formed by a ring-shaped partition wall 42.
  • the upper part is provided as a vacuum processing chamber 43, and the lower part is provided as an atmospheric chamber 44.
  • a stage 45 is provided at the center of the partition wall 42.
  • An insulating member made of ceramic, quartz, or the like is disposed on the upper surface of the stage 45, and a glass substrate for liquid crystal or a substrate to be processed, such as a semiconductor wafer, is mounted.
  • a mounting surface 45 a is provided on which is mounted.
  • a disk-shaped exhaust plate 56 is provided around the stage 45.
  • the stage 45 is made of, for example, aluminum whose surface is anodized (anodized).
  • a heating portion 47 such as a ceramic heater or the like is provided inside the stage 45.
  • a temperature control mechanism such as a refrigerant channel and a temperature sensor (not shown) are provided.
  • a transfer port 47 is provided in a part of the peripheral wall in the vacuum processing chamber 43 for loading and unloading the substrate to be processed 46 onto the mounting surface 45a by a transfer arm (not shown).
  • Reply A gate valve 48 that opens and closes is provided on the air side of the transfer port 47.
  • the gate valve 48 is driven by an unillustrated air cylinder or the like, and when closed, makes the inside of the vacuum processing chamber 43 airtight.
  • An upper electrode 55 including a gas introduction system is provided in a ceiling plate 54 of the processing chamber 41. Further, in the vacuum processing chamber 43, a shutter 49 that can be moved up and down and a fixed depot shield 50 as shown in FIG. 7 are provided.
  • This deposit shield 50 is made of a conductive material such as aluminum and is a cylindrical body having both ends open. As shown in FIG. Fixed with spacer 53 interposed.
  • the deposition shield 50 is grounded and has the same GND potential as that of the processing chamber. Further, the deposildo 50 has a notch in a part thereof, and the shutter 49 rising to the notch coincides with the notch.
  • both the shutter 49 and the deposit shield 50 have built-in electric heaters (not shown) to prevent heat loss in the vacuum processing chamber 43 and improve processing efficiency. In addition, it has the function of suppressing the deposition of reactive products and extending the maintenance cycle.
  • This shutter 49 is connected to one end of a drive shaft 51 that is air-tightly introduced from the side of the atmosphere chamber 44 below the processing chamber 41 using a magnetic fluid seal or the like. Further, the other end of the drive shaft 51 is connected to the air cylinder 52, and the shutter 49 is moved up and down by the driving of the air cylinder 52. To go up and down. In other words, when the substrate to be processed is moved in and out of the transfer port 47, it descends and retracts, and when plasma occurs, it is raised to match the notch of the deposit shield 50 so that there is no unevenness. It forms a curved surface.
  • the shutter 3 it is preferable that 1 is as close as possible to the processing chamber 1 1 1, but if it comes into contact with the ascent, the portion may be rubbed and particles may be generated. However, if a gap is provided, electrical connection is lost, and in a processing apparatus using plasma, the shutter 31 is exposed to the plasma, so that the shutter 31 is not connected to the processing chamber. In some cases, the potential may be different from 1.
  • FIG. 8A which is a cross section taken along the line A—A in FIG. 7, a stainless steel plate for electrically connecting the depot shield 50 and the shutter 49 is provided.
  • a metal such as a metal
  • a metal such as stainless steel
  • a groove for accommodating a part of the irrigation hole 61 is formed, and a groove for accommodating the O-ring is also formed in parallel, and each is accommodated.
  • the spiral groove is formed on the processing chamber 41 side, and the ring groove is formed on the vacuum chamber 46 side.
  • the alumite 65 on the contact surface 63 of the deposit shield 50 with which the contact 61 comes into contact is removed, and the electrical connection is established.
  • the spiral seal 61 becomes the depot shield 5. Even when metal powder, that is, particles are generated when contacting the 0 contact surface 63, the particles may enter the vacuum processing chamber 43 side by the O-ring 62. Can be prevented. In addition, the O-ring acts to absorb the shock when coming into contact with the deposit shield 50.
  • This modified example is an example realized by using an O-ring without using an O-ring, because the shape of the end face that abuts on the shutter 49 and the deposit 50 is different.
  • each end face is formed in an L-shape so as to engage each other.
  • the processing chamber 41 side is made higher than the vacuum processing chamber 43 side, that is, the outer peripheral side is made convex.
  • a spiral seal groove similar to that described above is formed on the convex end face of the shutter 49, and the spiral seal 72 is fitted thereinto.
  • the abutting part is L-shaped, even when the depot shield 70 comes in contact with the nozzle, even if a noo Since it is blocked by the letter-shaped portion, the participant does not need to reach the substrate 46 to be processed.
  • a flat surface without irregularities is formed on the peripheral wall of the vacuum processing chamber 14.
  • the O-ring is used.
  • the transfer port for loading and unloading the substrate to be processed into and out of the vacuum processing chamber is closed by the shutter, so that the inner peripheral wall of the vacuum processing chamber has no irregularities.
  • the shutter As a result, when plasma is generated, there is an effect that plasma disturbance can be eliminated and uniform plasma processing can be performed.
  • the mounting of the substrate to be processed There is no need to raise and lower the mounting table, the structure is simple, and the size of the device can be reduced.
  • the depot, the shutter, and the exhaust plate are electrically at the same potential (for example, ground potential), there is no disturbance of the electric plasma, and the uniformity is achieved. Performs efficient plasma processing.
  • the present invention provides a vacuum processing apparatus that eliminates irregularities from the inner peripheral wall of the vacuum processing chamber, thereby eliminating plasma disturbance and enabling uniform plasma processing when plasma occurs. To do that.
  • the vacuum processing apparatus of the present invention includes a vacuum processing chamber for performing a predetermined processing on a substrate to be processed mounted on a stage using a plasma, and covers a peripheral wall in the vacuum processing chamber and moves up and down. Are placed. This shutter evacuates the entire substrate when the substrate to be processed is transferred to and from the stage via the transfer port, and reduces the plasma generation area during the plasma processing. It is installed so as to surround it and functions as a deposit shield, eliminating irregularities in the vacuum processing chamber.
  • a depot shield is fixed so as to cover the peripheral wall of the vacuum processing chamber on the outer periphery of the stage, and a notch that covers the transfer port is provided, and it is possible to move up and down to match this notch
  • the shutter is lowered and retracted, and during plasma processing, the shutter is raised to match the notch.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

L'invention concerne un appareil de traitement sous vide comprenant une chambre de traitement sous vide (14) qui présente un étage (16) sur lequel est placé un substrat (17) destiné à être traité, un orifice de chargement (18) étant par ailleurs ménagé sur la paroi périphérique d'une chambre de traitement (11) constituant ladite chambre de traitement sous vide (14), cet orifice permettant de charger le substrat (17) sur l'étage (16) ou de le décharger de celui-ci. Un plasma est produit dans la chambre de traitement sous vide (14) de sorte que le substrat (17) placé sur l'étage (16) peut être traité au plasma. L'appareil de traitement sous vide de cette invention comprend enfin un obturateur (20) qui permet de fermer ledit orifice de chargement (18) une fois le plasma produit, afin de prévenir toute détérioration de ce dernier.
PCT/JP2000/003597 1999-06-02 2000-06-02 Appareil de traitement sous vide WO2000075972A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001502151A JP4547119B2 (ja) 1999-06-02 2000-06-02 真空処理装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP11/155039 1999-06-02
JP15503999 1999-06-02

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US09926676 A-371-Of-International 2001-11-30
US10/763,238 Continuation US20040149214A1 (en) 1999-06-02 2004-01-26 Vacuum processing apparatus

Publications (1)

Publication Number Publication Date
WO2000075972A1 true WO2000075972A1 (fr) 2000-12-14

Family

ID=15597345

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2000/003597 WO2000075972A1 (fr) 1999-06-02 2000-06-02 Appareil de traitement sous vide

Country Status (3)

Country Link
JP (1) JP4547119B2 (fr)
KR (1) KR100682216B1 (fr)
WO (1) WO2000075972A1 (fr)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7008275B2 (en) 2003-09-30 2006-03-07 Tokyo Electron Limited Electrical joint forming member and plasma processing apparatus
WO2006114976A1 (fr) * 2005-03-30 2006-11-02 Matsushita Electric Industrial Co., Ltd. Procede de dopage au plasma et equipement de traitement au plasma
JP2009530868A (ja) * 2006-03-23 2009-08-27 アプライド マテリアルズ インコーポレイテッド 大面積基板の均一性を改善する方法及び装置
KR101390963B1 (ko) 2011-12-29 2014-05-07 엘아이지에이디피 주식회사 화학기상 증착용 공정 시스템
JP2015081633A (ja) * 2013-10-22 2015-04-27 東京エレクトロン株式会社 ゲートバルブ装置及びプラズマ処理装置
JP2015095543A (ja) * 2013-11-12 2015-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP2015128110A (ja) * 2013-12-27 2015-07-09 東京エレクトロン株式会社 基板処理装置、シャッタ機構およびプラズマ処理装置
JP2019009251A (ja) * 2017-06-23 2019-01-17 東京エレクトロン株式会社 プラズマ処理装置
US10319568B2 (en) 2013-11-12 2019-06-11 Tokyo Electron Limited Plasma processing apparatus for performing plasma process for target object
JP2019197849A (ja) * 2018-05-11 2019-11-14 東京エレクトロン株式会社 プラズマ処理装置
CN110473814A (zh) * 2019-09-03 2019-11-19 北京北方华创微电子装备有限公司 内衬结构、反应腔室和半导体加工设备
JP2020136062A (ja) * 2019-02-19 2020-08-31 東京エレクトロン株式会社 基板処理装置
JP2021022652A (ja) * 2019-07-26 2021-02-18 東京エレクトロン株式会社 シャッタ機構および基板処理装置
WO2022230729A1 (fr) * 2021-04-26 2022-11-03 東京エレクトロン株式会社 Dispositif de traitement de substrat et procédé de traitement de substrat

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100719806B1 (ko) * 2005-07-04 2007-05-18 주식회사 아이피에스 건식식각장치
KR100822462B1 (ko) 2007-12-20 2008-04-16 아셈테크 주식회사 반도체 기판의 에싱장치
KR101111376B1 (ko) * 2009-10-27 2012-02-24 주식회사 테스 기판처리장치
JP6227976B2 (ja) * 2013-10-30 2017-11-08 東京エレクトロン株式会社 基板処理装置及びシャッタ部材
KR20190046327A (ko) 2017-10-26 2019-05-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102355892B1 (ko) * 2020-04-12 2022-01-28 주식회사 저스템 공정챔버 및 게이트밸브장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03107481A (ja) * 1989-09-22 1991-05-07 Ulvac Japan Ltd プラズマ処理装置
JPH05160031A (ja) * 1991-12-03 1993-06-25 Kokusai Electric Co Ltd Cvd装置
JPH05206071A (ja) * 1992-01-24 1993-08-13 Anelva Corp マイクロ波プラズマ処理装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2971921B2 (ja) * 1990-07-20 1999-11-08 東京エレクトロン株式会社 プラズマエッチング装置
JPH07122540A (ja) * 1993-10-25 1995-05-12 Tokyo Electron Ltd エッチング装置
JPH0820879A (ja) * 1994-07-08 1996-01-23 Nissin Electric Co Ltd プラズマ処理装置
JPH11176813A (ja) * 1997-12-12 1999-07-02 Nec Kyushu Ltd ドライエッチング装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03107481A (ja) * 1989-09-22 1991-05-07 Ulvac Japan Ltd プラズマ処理装置
JPH05160031A (ja) * 1991-12-03 1993-06-25 Kokusai Electric Co Ltd Cvd装置
JPH05206071A (ja) * 1992-01-24 1993-08-13 Anelva Corp マイクロ波プラズマ処理装置

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7008275B2 (en) 2003-09-30 2006-03-07 Tokyo Electron Limited Electrical joint forming member and plasma processing apparatus
WO2006114976A1 (fr) * 2005-03-30 2006-11-02 Matsushita Electric Industrial Co., Ltd. Procede de dopage au plasma et equipement de traitement au plasma
JP4979576B2 (ja) * 2005-03-30 2012-07-18 パナソニック株式会社 プラズマドーピング方法及びプラズマ処理装置
US8257501B2 (en) 2005-03-30 2012-09-04 Panasonic Corporation Plasma doping device with gate shutter
US8652953B2 (en) 2005-03-30 2014-02-18 Panasonic Corporation Plasma doping method with gate shutter
JP2009530868A (ja) * 2006-03-23 2009-08-27 アプライド マテリアルズ インコーポレイテッド 大面積基板の均一性を改善する方法及び装置
KR101390963B1 (ko) 2011-12-29 2014-05-07 엘아이지에이디피 주식회사 화학기상 증착용 공정 시스템
TWI642868B (zh) * 2013-10-22 2018-12-01 東京威力科創股份有限公司 Gate valve device and plasma processing device
JP2015081633A (ja) * 2013-10-22 2015-04-27 東京エレクトロン株式会社 ゲートバルブ装置及びプラズマ処理装置
JP2015095543A (ja) * 2013-11-12 2015-05-18 東京エレクトロン株式会社 プラズマ処理装置
US10319568B2 (en) 2013-11-12 2019-06-11 Tokyo Electron Limited Plasma processing apparatus for performing plasma process for target object
JP2015128110A (ja) * 2013-12-27 2015-07-09 東京エレクトロン株式会社 基板処理装置、シャッタ機構およびプラズマ処理装置
JP2019009251A (ja) * 2017-06-23 2019-01-17 東京エレクトロン株式会社 プラズマ処理装置
JP7066512B2 (ja) 2018-05-11 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
JP2019197849A (ja) * 2018-05-11 2019-11-14 東京エレクトロン株式会社 プラズマ処理装置
US11715630B2 (en) 2018-05-11 2023-08-01 Tokyo Electron Limited Plasma processing apparatus
JP2020136062A (ja) * 2019-02-19 2020-08-31 東京エレクトロン株式会社 基板処理装置
JP7199246B2 (ja) 2019-02-19 2023-01-05 東京エレクトロン株式会社 基板処理装置
JP2021022652A (ja) * 2019-07-26 2021-02-18 東京エレクトロン株式会社 シャッタ機構および基板処理装置
CN110473814A (zh) * 2019-09-03 2019-11-19 北京北方华创微电子装备有限公司 内衬结构、反应腔室和半导体加工设备
WO2022230729A1 (fr) * 2021-04-26 2022-11-03 東京エレクトロン株式会社 Dispositif de traitement de substrat et procédé de traitement de substrat

Also Published As

Publication number Publication date
KR100682216B1 (ko) 2007-02-12
JP4547119B2 (ja) 2010-09-22
KR20020010681A (ko) 2002-02-04

Similar Documents

Publication Publication Date Title
WO2000075972A1 (fr) Appareil de traitement sous vide
JP4470970B2 (ja) プラズマ処理装置
JP4119747B2 (ja) 真空処理装置
JP4672113B2 (ja) 誘導結合プラズマ処理装置
US8592712B2 (en) Mounting table structure and plasma film forming apparatus
KR101847575B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP3192370B2 (ja) プラズマ処理装置
US8197636B2 (en) Systems for plasma enhanced chemical vapor deposition and bevel edge etching
KR101656790B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체
US20040149214A1 (en) Vacuum processing apparatus
TWI407494B (zh) 半導體處理裝置
WO2004082007A1 (fr) Structure de maintien de substrat pour traitement de semi-conducteurs, et dispositif de traitement au plasma
KR20080098687A (ko) 처리 장치
JP3965343B2 (ja) 処理装置
US8741096B2 (en) Apparatus for semiconductor processing
JP2021125675A (ja) 基板処理装置及び基板処理方法
JPH08339984A (ja) プラズマ処理装置
JP4493863B2 (ja) プラズマ処理装置およびそのクリーニング方法および静電チャックの除電方法
US5676757A (en) Decompression container
JP3208008B2 (ja) 処理装置
US20100175621A1 (en) Microwave Plasma Processing Apparatus
JP2001332465A (ja) 枚葉式処理装置
JPH06151336A (ja) プラズマcvd装置
JPH05114582A (ja) 真空処理装置
JP4483040B2 (ja) 熱処理装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 502151

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020017015360

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 09926676

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020017015360

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020017015360

Country of ref document: KR