US6368469B1 - Coils for generating a plasma and for sputtering - Google Patents

Coils for generating a plasma and for sputtering Download PDF

Info

Publication number
US6368469B1
US6368469B1 US08/851,946 US85194697A US6368469B1 US 6368469 B1 US6368469 B1 US 6368469B1 US 85194697 A US85194697 A US 85194697A US 6368469 B1 US6368469 B1 US 6368469B1
Authority
US
United States
Prior art keywords
coil
target
workpiece
deposited
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/851,946
Other languages
English (en)
Inventor
Jaim Nulman
Sergio Edelstein
Mani Subramani
Zheng Xu
Howard Grunes
Avi Tepman
John Forster
Praburam Gopalraja
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US08/851,946 priority Critical patent/US6368469B1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: XU, ZHENG, NULMAN, JAIM, GOPALRAJA, PRABURAM, TEPMAN, AVI, SUBRAMANI, ANANTHA, FORSTER, JOHN, GRUNES, HOWARD, EDELSTEIN, SERGIO
Priority to US10/052,951 priority patent/US6783639B2/en
Application granted granted Critical
Publication of US6368469B1 publication Critical patent/US6368469B1/en
Priority to US10/896,155 priority patent/US20040256217A1/en
Priority to US11/229,139 priority patent/US8398832B2/en
Priority to US13/776,492 priority patent/US20130168232A1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3438Electrodes other than cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields

Definitions

  • the present invention relates to plasma generators, and more particularly, to a method and apparatus for generating a plasma to sputter deposit a layer of material in the fabrication of semiconductor devices.
  • RF generated plasmas have become convenient sources of energetic ions and activated atoms which can be employed in a variety of semiconductor device fabrication processes including surface treatments, depositions, and etching processes.
  • a plasma is produced in the vicinity of a sputter target material which is negatively biased. Ions created within the plasma impact the surface of the target to dislodge, i.e., “sputter” material from the target. The sputtered materials are then transported and deposited on the surface of the semiconductor wafer.
  • FIG. 1 is a perspective, partial cross-sectional view of a plasma generating chamber in accordance with one embodiment of the present invention.
  • FIG. 8 is a graph depicting the effect on deposition uniformity of the ratio of the RF power applied to the coil relative to the DC power bias of the target.
  • FIG. 11 illustrates a plurality of coil ring feedthrough standoffs for a plasma generating chamber having two multiple ring coils in which the rings of the two coils are interleaved.
  • FIG. 12 is a schematic diagram of the electrical interconnections to the plasma generating chamber of FIG. 11 .
  • a plasma generator in accordance with a first embodiment of the present invention comprises a substantially cylindrical plasma chamber 100 which is received in a vacuum chamber 102 (shown schematically in FIG. 2 ).
  • the plasma chamber 100 of this embodiment has a single turn coil 104 which is carried internally by a shield 106 .
  • the shield 106 protects the interior walls (not shown) of the vacuum chamber 102 from the material being deposited within the interior of the plasma chamber 100 .
  • RF power is applied to the coil 104 by feedthroughs (not shown) which are supported by insulating feedthrough standoffs 124 .
  • the feedthrough standoffs 124 like the coil support standoffs 122 , permit repeated deposition of conductive material from the target onto the feedthrough standoff 124 without the formation of a conducting path which could short the coil 104 to the shield 106 .
  • the coil feedthrough standoff 124 has an internal labyrinth structure somewhat similar to that of the coil standoff 122 to prevent the formation of a short between the coil 104 and the wall 140 of the shield.
  • the dark space shield 130 is a closed continuous ring of titanium (where titanium deposition is occurring in the chamber 100 ) or stainless steel having a generally inverted frusto-conical shape.
  • the dark space shield extends inward toward the center of plasma chamber 100 so as to overlap the coil 104 by a distance of 1 ⁇ 4 inch. It is recognized, of course, that the amount of overlap can be varied depending upon the relative size and placement of the coil and other factors. For example, the overlap may be increased to increase the shielding of the coil 104 from the sputtered material but increasing the overlap could also further shield the target from the plasma which may be undesirable in some applications.
  • the coil 104 may be placed in a recessed coil chamber (not shown) to further protect the coil and reduce particle deposits on the workpiece.
  • each turn of the coil may be implemented with a flat, open-ended annular ring such as that illustrated at 200 in FIG. 3 .
  • a flat, open-ended annular ring such as that illustrated at 200 in FIG. 3 .
  • Such an arrangement is particularly advantageous for multiple turn coils.
  • the advantage of a multiple turn coil is that the required current levels can be substantially reduced for a given RF power level.
  • multiple turn coils tend to be more complicated and hence most costly and difficult to clean as compared to single turn coils.
  • a three turn helical coil of titanium and its associated supporting structure could be quite expensive.
  • the cost of manufacture of a multiple turn coil can be substantially reduced by utilizing several such flat rings 200 a - 200 c to form a multiple turn coil 104 ′ as illustrated in FIG. 4 .
  • an RF waveguide 220 a external to the shield wall is coupled by the RF feedthrough in feedthrough standoff 206 a to one end of the lowest coil ring 200 a .
  • the other end of the coil ring 200 a is coupled by the RF feedthrough in feedthrough standoff 208 a to another external RF waveguide 220 b which is coupled by the RF feedthrough in feedthrough standoff 206 b to one end of the middle coil ring 200 b .
  • the other end of the coil ring 200 b is coupled by the RF feedthrough in feedthrough standoff 208 b to another external RF waveguide 220 c which is coupled by the RF feedthrough in feedthrough standoff 206 c to one end of the top coil ring 200 c .
  • the relative amounts of sputtering between the coil and the target may also be a function of the DC biasing of the coil 104 relative to that of the target 110 .
  • This DC biasing of the coil 104 may be adjusted in a variety of methods.
  • the matching network 302 typically includes inductors and capacitors. By varying the capacitance of one or more capacitors of the matching network, the DC biasing of the coil 104 might be adjusted to achieve the desired level of uniformity.
  • the RF power to the coil and the DC biasing of the coil 104 may have separate adjustment inputs to achieve the desired results.
  • An alternative power arrangement could include two RF generators operated at slightly different frequencies.
  • the wafer to target space is preferably about 140 mm but can range from about 1.5′′ to 8′′.
  • satisfactory coverage i.e., the ratio of aperture bottom deposition thickness to field deposition thickness
  • a coil diameter of about 11 1 ⁇ 2 inches spaced from the target by a distance of about 2.9 inches. It has been found that increasing the diameter of the coil which moves the coil away from the workpiece edge has an adverse effect on bottom coverage.
  • decreasing the coil diameter to move the coil closer to the wafer edge can adversely effect layer uniformity. It is believed that decreasing the coil diameter causes the coil to be more closely aligned with the target resulting in substantial deposition of material from the target onto the coil which in turn can adversely effect the uniformity of material being sputtered from the coil.
  • the RF power levels for the coil 104 ′′ may be lower as compared to those for the coil 104 .
  • a suitable power range for the coil 104 ′′ is 1.5 to 3.5 kW RF.
  • the power ranges for the primary target 110 and the secondary target, i.e., the coil 310 are 2-5 kW DC and 1-3 kW DC, respectively. Of course, values will vary depending upon the particular application.
  • FIGS. 11 and 12 show yet another alternative embodiment, which includes a multiple turn RF coil and a multiple ring secondary target in which the rings of the target are interleaved with the turns of the RF coil.
  • the RF coil of FIG. 12 like the coil 104 ′ of FIGS. 4-6, is formed of flat rings 200 a - 200 c which are electrically connected together in series by RF feedthroughs which pass through the RF feedthrough standoffs 206 a - 206 c and 208 a - 208 c and external waveguides 220 a - 220 d to the RF source and RF ground.
  • the negatively biasing DC power source 312 external to the shield wall is coupled by an external strap 330 a to a DC feedthrough in feedthrough standoff 206 d to the lowest ring 400 a of the second sputtering target.
  • the target ring 400 a is also coupled by the DC feedthrough in feedthrough standoff 206 d to another external DC strap 330 b which is coupled by the DC feedthrough in feedthrough standoff 206 e to the middle target ring 400 b .
  • the secondary sputtering targets 310 and 400 a - 400 c have been described as being fabricated from flat rings 400 , it should be appreciated that the sputtering secondary targets may be fabricated from ribbon and tubular materials as well as in a variety of other shapes and sizes including cylinders and segments of cylinders. However, it is preferred that the secondary targets be shaped so as to be symmetrical about the axis of the substrate and encircle the interior of the chamber at the periphery of the plasma.
  • the secondary target material should be a solid, conductive material and may be of the same type or a different type of conductive material than that of the primary target 110 .
  • the biasing of the primary and secondary targets has been described as DC biasing, it should be appreciated that in some applications, AC or RF biasing of one or both of the primary and secondary targets may be appropriate.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
US08/851,946 1996-05-09 1997-05-06 Coils for generating a plasma and for sputtering Expired - Lifetime US6368469B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US08/851,946 US6368469B1 (en) 1996-05-09 1997-05-06 Coils for generating a plasma and for sputtering
US10/052,951 US6783639B2 (en) 1996-05-09 2002-01-17 Coils for generating a plasma and for sputtering
US10/896,155 US20040256217A1 (en) 1996-05-09 2004-07-20 Coils for generating a plasma and for sputtering
US11/229,139 US8398832B2 (en) 1996-05-09 2005-09-15 Coils for generating a plasma and for sputtering
US13/776,492 US20130168232A1 (en) 1996-05-09 2013-02-25 Coils for generating a plasma and for sputtering

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US64718496A 1996-05-09 1996-05-09
US64409696A 1996-05-10 1996-05-10
US68033596A 1996-07-10 1996-07-10
US08/851,946 US6368469B1 (en) 1996-05-09 1997-05-06 Coils for generating a plasma and for sputtering

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US68033596A Continuation 1996-05-09 1996-07-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/052,951 Continuation US6783639B2 (en) 1996-05-09 2002-01-17 Coils for generating a plasma and for sputtering

Publications (1)

Publication Number Publication Date
US6368469B1 true US6368469B1 (en) 2002-04-09

Family

ID=27417720

Family Applications (3)

Application Number Title Priority Date Filing Date
US08/851,946 Expired - Lifetime US6368469B1 (en) 1996-05-09 1997-05-06 Coils for generating a plasma and for sputtering
US10/052,951 Expired - Lifetime US6783639B2 (en) 1996-05-09 2002-01-17 Coils for generating a plasma and for sputtering
US10/896,155 Abandoned US20040256217A1 (en) 1996-05-09 2004-07-20 Coils for generating a plasma and for sputtering

Family Applications After (2)

Application Number Title Priority Date Filing Date
US10/052,951 Expired - Lifetime US6783639B2 (en) 1996-05-09 2002-01-17 Coils for generating a plasma and for sputtering
US10/896,155 Abandoned US20040256217A1 (en) 1996-05-09 2004-07-20 Coils for generating a plasma and for sputtering

Country Status (5)

Country Link
US (3) US6368469B1 (ja)
EP (1) EP0807954A1 (ja)
JP (4) JP4553992B2 (ja)
KR (1) KR100547404B1 (ja)
SG (1) SG74011A1 (ja)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579421B1 (en) 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US20040018740A1 (en) * 2002-03-18 2004-01-29 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
US20040026233A1 (en) * 2002-08-08 2004-02-12 Applied Materials, Inc. Active magnetic shielding
US6695954B2 (en) * 1997-08-07 2004-02-24 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US20040094402A1 (en) * 2002-08-01 2004-05-20 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6783639B2 (en) * 1996-05-09 2004-08-31 Applied Materials Coils for generating a plasma and for sputtering
US6824658B2 (en) 2001-08-30 2004-11-30 Applied Materials, Inc. Partial turn coil for generating a plasma
US20050263389A1 (en) * 2004-05-26 2005-12-01 Tza-Jing Gung Variable quadruple electromagnet array in plasma processing
US20060070875A1 (en) * 1996-05-09 2006-04-06 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US20060213769A1 (en) * 2005-03-22 2006-09-28 Eal Lee Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20080178801A1 (en) * 2007-01-29 2008-07-31 Applied Materials, Inc. Process kit for substrate processing chamber
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
US20100078312A1 (en) * 2008-09-26 2010-04-01 Tango Systems, Inc. Sputtering Chamber Having ICP Coil and Targets on Top Wall
US20100155223A1 (en) * 2004-05-26 2010-06-24 Applied Materials, Inc. Electromagnet array in a sputter reactor
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US8668816B2 (en) 1999-10-08 2014-03-11 Applied Materials Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
RU2554085C2 (ru) * 2013-09-20 2015-06-27 Федеральное государственное бюджетное образовательное учреждение высшего образования "Московский государственный университет имени М.В. Ломоносова" (МГУ) Способ нагрева электродов и создания самостоятельного дугового разряда с поджигом от тонкой металлической проволочки в свободном пространстве в магнитном поле
US9272882B2 (en) 2009-12-18 2016-03-01 Otis Elevator Company Detection of people relative to a passenger conveyor with a capacitive sensor
RU2577040C2 (ru) * 2014-07-29 2016-03-10 Федеральное государственное бюджетное образовательное учреждение высшего образования "Московский государственный университет имени М.В. Ломоносова" (МГУ) Магнитный гаситель самостоятельного дугового разряда
US20160099130A1 (en) * 2014-10-01 2016-04-07 Nissin Electric Co., Ltd. Antenna for plasma generation and plasma processing device having the same
US20170253959A1 (en) * 2016-03-05 2017-09-07 Applied Materials, Inc. Methods and apparatus for controlling ion fraction in physical vapor deposition processes
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
CN116997068A (zh) * 2023-09-25 2023-11-03 湘潭宏大真空技术股份有限公司 用于磁控溅射镀膜的等离子发生器及磁控溅射镀膜机
US11952655B2 (en) 2022-03-29 2024-04-09 Applied Materials, Inc. Electromagnet pulsing effect on PVD step coverage

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6451179B1 (en) 1997-01-30 2002-09-17 Applied Materials, Inc. Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6168690B1 (en) 1997-09-29 2001-01-02 Lam Research Corporation Methods and apparatus for physical vapor deposition
US6506287B1 (en) * 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6231725B1 (en) * 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6238528B1 (en) * 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
GB2346155B (en) * 1999-01-06 2003-06-25 Trikon Holdings Ltd Sputtering apparatus
US6409890B1 (en) 1999-07-27 2002-06-25 Applied Materials, Inc. Method and apparatus for forming a uniform layer on a workpiece during sputtering
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6461483B1 (en) * 2000-03-10 2002-10-08 Applied Materials, Inc. Method and apparatus for performing high pressure physical vapor deposition
EP1438443A1 (en) * 2001-09-27 2004-07-21 E.I. Du Pont De Nemours And Company Method and apparatus for sputter deposition of epilayers with high deposition rate
JP3727878B2 (ja) * 2001-11-14 2005-12-21 三菱重工業株式会社 金属膜作製装置
US7346135B1 (en) 2002-02-13 2008-03-18 Marvell International, Ltd. Compensation for residual frequency offset, phase noise and sampling phase offset in wireless networks
US7246192B1 (en) 2003-01-10 2007-07-17 Marvell International Ltd. Serial/parallel ATA controller and converter
WO2004066360A2 (en) * 2003-01-22 2004-08-05 Honeywell International Inc Apparatus and methods for ionized deposition of a film or thin layer
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US8930583B1 (en) 2003-09-18 2015-01-06 Marvell Israel (M.I.S.L) Ltd. Method and apparatus for controlling data transfer in a serial-ATA system
US20050098427A1 (en) * 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US7214619B2 (en) 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7399943B2 (en) 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US8187416B2 (en) * 2005-05-20 2012-05-29 Applied Materials, Inc. Interior antenna for substrate processing chamber
US20080078326A1 (en) * 2006-09-29 2008-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-cleaning tool and semiconductor processing apparatus using the same
US8956516B2 (en) * 2009-08-31 2015-02-17 Semicat, Inc. System and apparatus to facilitate physical vapor deposition to modify non-metal films on semiconductor substrates
US8936703B2 (en) * 2009-08-31 2015-01-20 Semicat, Inc. Methods to fabricate non-metal films on semiconductor substrates using physical vapor deposition
US9111733B2 (en) * 2009-08-31 2015-08-18 Novellus Systems Inc. Plasma ignition performance for low pressure physical vapor deposition (PVD) processes

Citations (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1905058A1 (de) 1969-02-01 1970-08-13 Heraeus Gmbh W C Vorrichtung fuer die Beschichtung von Gut durch Hochfrequenz-Plasmazerstaeubung von Werkstoffen
US3594301A (en) 1968-11-22 1971-07-20 Gen Electric Sputter coating apparatus
US3619402A (en) 1967-11-10 1971-11-09 Euratom Process and device for depositing on surfaces
JPS5647562A (en) 1979-09-25 1981-04-30 Ricoh Co Ltd Method and apparatus for formation of deposit film
US4336118A (en) 1980-03-21 1982-06-22 Battelle Memorial Institute Methods for making deposited films with improved microstructures
US4362632A (en) 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
JPS59190363A (ja) 1983-04-11 1984-10-29 Orient Watch Co Ltd 金属薄膜の形成方法
GB2162365A (en) 1984-07-26 1986-01-29 Atomic Energy Authority Uk Ion source
JPS61190070A (ja) 1985-02-20 1986-08-23 Hitachi Ltd スパツタ装置
WO1986006923A1 (en) 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4626312A (en) 1985-06-24 1986-12-02 The Perkin-Elmer Corporation Plasma etching system for minimizing stray electrical discharges
US4661228A (en) 1984-05-17 1987-04-28 Varian Associates, Inc. Apparatus and method for manufacturing planarized aluminum films
US4716491A (en) 1984-12-11 1987-12-29 Hitachi, Ltd. High frequency plasma generation apparatus
JPS63246814A (ja) 1987-04-02 1988-10-13 Matsushita Electric Ind Co Ltd 薄膜形成装置
US4792732A (en) 1987-06-12 1988-12-20 United States Of America As Represented By The Secretary Of The Air Force Radio frequency plasma generator
US4842703A (en) 1988-02-23 1989-06-27 Eaton Corporation Magnetron cathode and method for sputter coating
US4844775A (en) 1986-12-11 1989-07-04 Christopher David Dobson Ion etching and chemical vapour deposition
US4865712A (en) 1984-05-17 1989-09-12 Varian Associates, Inc. Apparatus for manufacturing planarized aluminum films
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US4925542A (en) 1988-12-08 1990-05-15 Trw Inc. Plasma plating apparatus and method
US4941915A (en) 1988-02-08 1990-07-17 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing plasma sputtering
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
GB2231197A (en) 1989-03-06 1990-11-07 Nordiko Ltd Plasma apparatus electrode assembly
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4999096A (en) 1987-06-30 1991-03-12 Hitachi, Ltd. Method of and apparatus for sputtering
US5065698A (en) 1988-04-11 1991-11-19 Canon Kabushiki Kaisha Film forming apparatus capable of preventing adhesion of film deposits
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
WO1992007969A1 (en) 1990-10-31 1992-05-14 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5135629A (en) 1989-06-12 1992-08-04 Nippon Mining Co., Ltd. Thin film deposition system
US5146137A (en) 1989-12-23 1992-09-08 Leybold Aktiengesellschaft Device for the generation of a plasma
US5175608A (en) 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
EP0520519A1 (en) 1991-06-27 1992-12-30 Applied Materials, Inc. Plasma processing reactor and process for plasma etching
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5206516A (en) 1991-04-29 1993-04-27 International Business Machines Corporation Low energy, steered ion beam deposition system having high current at low pressure
US5225740A (en) 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5234560A (en) 1989-08-14 1993-08-10 Hauzer Holdings Bv Method and device for sputtering of films
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5312717A (en) 1992-09-24 1994-05-17 International Business Machines Corporation Residue free vertical pattern transfer with top surface imaging resists
EP0601595A1 (de) 1992-12-11 1994-06-15 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Berlin Zur Anordnung in einem Vakuumgefäss geeignete selbsttragende isolierte Leiteranordnung, insbesondere Antennenspule für einen Hochfrequenz-Plasmagenerator
EP0607797A1 (en) 1993-01-19 1994-07-27 International Business Machines Corporation An apparatus and method for enhanced inductive coupling to plasmas with reduced sputter contamination
JPH06232055A (ja) 1993-02-08 1994-08-19 Yasuhiro Horiike ヘリコン波プラズマ処理装置
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JPH06283470A (ja) 1993-03-26 1994-10-07 Tokyo Electron Ltd プラズマ処理装置
US5361016A (en) 1992-03-26 1994-11-01 General Atomics High density plasma formation using whistler mode excitation in a reduced cross-sectional area formation tube
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5366590A (en) 1993-03-19 1994-11-22 Sony Corporation Dry etching method
US5368685A (en) 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5397962A (en) 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5417834A (en) 1992-10-17 1995-05-23 Leybold Aktiengesellschaft Arrangement for generating a plasma by means of cathode sputtering
US5418431A (en) 1993-08-27 1995-05-23 Hughes Aircraft Company RF plasma source and antenna therefor
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
WO1995015672A1 (en) 1993-12-01 1995-06-08 Wisconsin Alumni Research Foundation Method and apparatus for planar plasma processing
US5430355A (en) 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429995A (en) 1992-07-17 1995-07-04 Kabushiki Kaisha Toshiba Method of manufacturing silicon oxide film containing fluorine
US5429710A (en) 1993-02-25 1995-07-04 Sony Corporation Dry etching method
JPH07176398A (ja) 1994-10-24 1995-07-14 Tokyo Electron Ltd プラズマ処理装置
JPH07176399A (ja) 1994-10-24 1995-07-14 Tokyo Electron Ltd プラズマ処理装置
US5464518A (en) 1993-01-15 1995-11-07 The Boc Group, Inc. Cylindrical magnetron shield structure
JPH0888190A (ja) 1994-09-16 1996-04-02 Nec Corp プラズマ処理装置およびプラズマ処理方法
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
JPH08153712A (ja) 1994-11-29 1996-06-11 Aneruba Kk プラズマ処理方法
EP0727807A1 (en) 1995-02-15 1996-08-21 Applied Materials, Inc. Plasma reactor
US5560776A (en) 1993-09-10 1996-10-01 Kabushiki Kaisha Toshiba Plasma discharge generating antenna
JPH08288259A (ja) 1995-04-18 1996-11-01 Sony Corp ヘリコン波プラズマ装置およびこれを用いたドライエッチング方法
US5573595A (en) 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
EP0774886A1 (en) 1995-11-15 1997-05-21 Applied Materials, Inc. Method and apparatus for generating a plasma
US5639357A (en) 1994-05-12 1997-06-17 Applied Materials Synchronous modulation bias sputter method and apparatus for complete planarization of metal films
EP0807954A1 (en) 1996-05-09 1997-11-19 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US5961793A (en) 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6254748B1 (en) 1993-10-12 2001-07-03 California Institute Of Technology Direct methanol feed fuel cell and system

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4755460A (en) 1984-07-06 1988-07-05 The Regents Of The Univ. Of Minnesota Bovine antigen glycoprotein, related antibody, and use in detection of pregnancy in cattle
JPS6314865A (ja) * 1986-07-07 1988-01-22 Matsushita Electric Ind Co Ltd スパツタリング装置
JP2552697B2 (ja) * 1988-02-08 1996-11-13 日本電信電話株式会社 イオン源
JPH04183855A (ja) * 1990-11-19 1992-06-30 Fujitsu Ltd スパッタ膜形成方法
JPH0718433A (ja) * 1993-06-30 1995-01-20 Kobe Steel Ltd Icpスパッタリング処理装置
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
FR2713571B1 (fr) 1993-12-09 1996-01-05 Lamalle Jean Dispositif empêchant le vol d'un véhicule automobile que son conducteur aurait laissé portières non verrouillées avec ses clés sur le tableau de bord voire avec le moteur en marche.
JPH07268624A (ja) * 1994-03-25 1995-10-17 Tatsuo Asamaki 放電装置
JPH08176818A (ja) * 1994-12-21 1996-07-09 Nippon Telegr & Teleph Corp <Ntt> スパッタリング装置
TW327236B (en) * 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
US6254746B1 (en) * 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6361661B2 (en) * 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6660134B1 (en) * 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil

Patent Citations (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3619402A (en) 1967-11-10 1971-11-09 Euratom Process and device for depositing on surfaces
US3594301A (en) 1968-11-22 1971-07-20 Gen Electric Sputter coating apparatus
DE1905058A1 (de) 1969-02-01 1970-08-13 Heraeus Gmbh W C Vorrichtung fuer die Beschichtung von Gut durch Hochfrequenz-Plasmazerstaeubung von Werkstoffen
US4362632A (en) 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
JPS5647562A (en) 1979-09-25 1981-04-30 Ricoh Co Ltd Method and apparatus for formation of deposit film
US4336118A (en) 1980-03-21 1982-06-22 Battelle Memorial Institute Methods for making deposited films with improved microstructures
JPS59190363A (ja) 1983-04-11 1984-10-29 Orient Watch Co Ltd 金属薄膜の形成方法
US4865712A (en) 1984-05-17 1989-09-12 Varian Associates, Inc. Apparatus for manufacturing planarized aluminum films
US4661228A (en) 1984-05-17 1987-04-28 Varian Associates, Inc. Apparatus and method for manufacturing planarized aluminum films
GB2162365A (en) 1984-07-26 1986-01-29 Atomic Energy Authority Uk Ion source
US4716491A (en) 1984-12-11 1987-12-29 Hitachi, Ltd. High frequency plasma generation apparatus
JPS61190070A (ja) 1985-02-20 1986-08-23 Hitachi Ltd スパツタ装置
WO1986006923A1 (en) 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4626312A (en) 1985-06-24 1986-12-02 The Perkin-Elmer Corporation Plasma etching system for minimizing stray electrical discharges
US4844775A (en) 1986-12-11 1989-07-04 Christopher David Dobson Ion etching and chemical vapour deposition
JPS63246814A (ja) 1987-04-02 1988-10-13 Matsushita Electric Ind Co Ltd 薄膜形成装置
US4792732A (en) 1987-06-12 1988-12-20 United States Of America As Represented By The Secretary Of The Air Force Radio frequency plasma generator
US5175608A (en) 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
US4999096A (en) 1987-06-30 1991-03-12 Hitachi, Ltd. Method of and apparatus for sputtering
US4941915A (en) 1988-02-08 1990-07-17 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing plasma sputtering
US4842703A (en) 1988-02-23 1989-06-27 Eaton Corporation Magnetron cathode and method for sputter coating
US5065698A (en) 1988-04-11 1991-11-19 Canon Kabushiki Kaisha Film forming apparatus capable of preventing adhesion of film deposits
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US4925542A (en) 1988-12-08 1990-05-15 Trw Inc. Plasma plating apparatus and method
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB2231197A (en) 1989-03-06 1990-11-07 Nordiko Ltd Plasma apparatus electrode assembly
US5135629A (en) 1989-06-12 1992-08-04 Nippon Mining Co., Ltd. Thin film deposition system
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5234560A (en) 1989-08-14 1993-08-10 Hauzer Holdings Bv Method and device for sputtering of films
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5146137A (en) 1989-12-23 1992-09-08 Leybold Aktiengesellschaft Device for the generation of a plasma
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
WO1992007969A1 (en) 1990-10-31 1992-05-14 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5206516A (en) 1991-04-29 1993-04-27 International Business Machines Corporation Low energy, steered ion beam deposition system having high current at low pressure
EP0520519A1 (en) 1991-06-27 1992-12-30 Applied Materials, Inc. Plasma processing reactor and process for plasma etching
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5368685A (en) 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5225740A (en) 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5361016A (en) 1992-03-26 1994-11-01 General Atomics High density plasma formation using whistler mode excitation in a reduced cross-sectional area formation tube
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5397962A (en) 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5429995A (en) 1992-07-17 1995-07-04 Kabushiki Kaisha Toshiba Method of manufacturing silicon oxide film containing fluorine
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5312717A (en) 1992-09-24 1994-05-17 International Business Machines Corporation Residue free vertical pattern transfer with top surface imaging resists
US5417834A (en) 1992-10-17 1995-05-23 Leybold Aktiengesellschaft Arrangement for generating a plasma by means of cathode sputtering
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5434353A (en) 1992-12-11 1995-07-18 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E.V. Berlin Self-supporting insulated conductor arrangement suitable for arrangement in a vacuum container
EP0601595A1 (de) 1992-12-11 1994-06-15 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Berlin Zur Anordnung in einem Vakuumgefäss geeignete selbsttragende isolierte Leiteranordnung, insbesondere Antennenspule für einen Hochfrequenz-Plasmagenerator
US5464518A (en) 1993-01-15 1995-11-07 The Boc Group, Inc. Cylindrical magnetron shield structure
EP0607797A1 (en) 1993-01-19 1994-07-27 International Business Machines Corporation An apparatus and method for enhanced inductive coupling to plasmas with reduced sputter contamination
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06232055A (ja) 1993-02-08 1994-08-19 Yasuhiro Horiike ヘリコン波プラズマ処理装置
US5429710A (en) 1993-02-25 1995-07-04 Sony Corporation Dry etching method
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5366590A (en) 1993-03-19 1994-11-22 Sony Corporation Dry etching method
JPH06283470A (ja) 1993-03-26 1994-10-07 Tokyo Electron Ltd プラズマ処理装置
US5430355A (en) 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5418431A (en) 1993-08-27 1995-05-23 Hughes Aircraft Company RF plasma source and antenna therefor
US5560776A (en) 1993-09-10 1996-10-01 Kabushiki Kaisha Toshiba Plasma discharge generating antenna
US6254748B1 (en) 1993-10-12 2001-07-03 California Institute Of Technology Direct methanol feed fuel cell and system
WO1995015672A1 (en) 1993-12-01 1995-06-08 Wisconsin Alumni Research Foundation Method and apparatus for planar plasma processing
US5639357A (en) 1994-05-12 1997-06-17 Applied Materials Synchronous modulation bias sputter method and apparatus for complete planarization of metal films
JPH0888190A (ja) 1994-09-16 1996-04-02 Nec Corp プラズマ処理装置およびプラズマ処理方法
US5690781A (en) 1994-09-16 1997-11-25 Nec Corporation Plasma processing apparatus for manufacture of semiconductor devices
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
JPH07176398A (ja) 1994-10-24 1995-07-14 Tokyo Electron Ltd プラズマ処理装置
JPH07176399A (ja) 1994-10-24 1995-07-14 Tokyo Electron Ltd プラズマ処理装置
JPH08153712A (ja) 1994-11-29 1996-06-11 Aneruba Kk プラズマ処理方法
EP0727807A1 (en) 1995-02-15 1996-08-21 Applied Materials, Inc. Plasma reactor
JPH08288259A (ja) 1995-04-18 1996-11-01 Sony Corp ヘリコン波プラズマ装置およびこれを用いたドライエッチング方法
US5573595A (en) 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US6228229B1 (en) 1995-11-15 2001-05-08 Applied Materials, Inc. Method and apparatus for generating a plasma
EP0774886A1 (en) 1995-11-15 1997-05-21 Applied Materials, Inc. Method and apparatus for generating a plasma
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
EP0807954A1 (en) 1996-05-09 1997-11-19 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US5961793A (en) 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source

Non-Patent Citations (31)

* Cited by examiner, † Cited by third party
Title
AT Search Report issued Nov. 19, 1999 in application SI9701437-7.
EP 97303124.8 Search Report mailed Aug. 22, 1997 (Atty Dkt: 4979).
J. Hopwood et al., "Mechanisms for Highly Ionized Magnetron Sputtering," J. Appl. Phys., vol. 78, pp. 758-765, 1995.
M. Matsuoka et al., Dense Plasma Production and Film Deposition by New High-Rate Sputtering Using an Electric Mirror, J. Vac. Sci. Technol., A 7(4), 2652-2657, Jul./Aug. 1989.
M. Yamashita, "Fundamental Characteristics of Built-in High Frequency Coil Type Sputtering Apparatus," J. Vac. Sci. Technol., vol. A7, pp. 151-158, 1989.
M. Yamashita, "Sputter Type High Frequency Ion Source for Ion Beam Deposition Apparatus," Jap. J. Appl. Phys., vol. 26, pp. 721-727, 1987.
N. Jiwari et al., "Helicon wave plasma reactor employing single-loop antenna," J. of Vac. Sci. Technol., A 12(4), pp. 1322-1327, Jul./Aug. 1994.
P. Kidd, "A Magnetically Confined and ECR Heated Plasma Machine for Coating and Ion Surface Modification Use," J. Vac. Sci. Technol., vol. A9, pp. 466-473, 1991.
PCT Search Report issued in Appln PCT/US98/09739.
S.M. Rossnagel et al., "Magnetron Sputter Deposition with High Levels of Metal Ionization," Appl. Phys. Lett., vol. 63, pp. 3285-3287, 1993.
S.M. Rossnagel et al., "Metal Ion Deposition from Ionized Magnetron Sputtering Discharge," J. Vac. Sci. Technol., vol. B12, pp. 449-453, 1994.
S.M. Rossnagel, "Directional and Ionized Sputter Deposition for Microelectronics Applications," Proc. of 3rd ISSP (Tokyo), pp. 253-260, 1995.
S.M. Rossnagel, et al., "Filling Dual Damascene Interconnect Structures with AlCu and Cu Using Ionized Magnetron Deposition," J. Vac. Sci. Technol., vol. B13, pp. 125-129, 1995.
Search report dated Feb. 27, 1997, EPC application No. 96308251.6
U.S. application Ser. No. 08/461,575, filed Sep. 30, 1992 (Atty. Dk. 364.F1).* *
U.S. application Ser. No. 08/559,345, filed Nov. 15, 1995 (Aty. Dk. 938/PVD/DV).* *
U.S. application Ser. No. 08/647,182, filed May 9, 1996 (Atty. Dk. 1186).* *
U.S. application Ser. No. 08/680,335, filed Jul. 10, 1996 (Atty. Dk. 1390-CIP/PVD/DV).
U.S. application Ser. No. 08/733,620, filed Oct. 17, 1996 (Attorney Docket # 1457/PVD/DV).* *
U.S. application Ser. No. 08/741,708, filed Oct. 31, 1996 (Attorney Docket # 1590/PVD/DV).* *
U.S. application Ser. No. 08/853,024, filed May 8, 1997 (Atty. Dk. 1186.P1/PVD/DV).* *
U.S. application Ser. No. 08/857,719, filed May, 16, 1997 (Atty. Dk. 1752/PVD/DV).* *
U.S. Ser. No. 08/857,720 (Atty. Dkt. No. 1800).
U.S. Ser. No. 08/857,921 (Atty. Dkt. No. 1737).
U.S. Ser. No. 08/857,944 (Atty. Dkt. 1871).
U.S. Ser. No. 08/907,382 (Atty. Dkt. 1957).
U.S. Ser. No. 08/971,867 (Atty. Dkt. 1957.P1).
U.S. Ser. No. 09/039,695 (Atty. Dkt. 1727).
US 09/829,595 filed Apr. 10, 2001, full copy including specification, claims and drawings as filed; 1st preliminary amendment; Formal Drawings; and 2nd preliminary amendment (Att Dkt: 7042).
W.M. Holber, et al., "Copper Deposition by Electron Cyclotron Resonance Plasma," J. Vac. Sci. Technol., vol. A11, pp. 2903-2910, 1993.
Y-W. Kim et al., "Ionized Sputter Deposition of AlCu: Film Microstructure and Chemistry," J. Vac. Sci. Technol., vol. A12, pp. 3169-3175, 1994.

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6783639B2 (en) * 1996-05-09 2004-08-31 Applied Materials Coils for generating a plasma and for sputtering
US20040256217A1 (en) * 1996-05-09 2004-12-23 Jaim Nulman Coils for generating a plasma and for sputtering
US20060070875A1 (en) * 1996-05-09 2006-04-06 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US20130168232A1 (en) * 1996-05-09 2013-07-04 Jaim Nulman Coils for generating a plasma and for sputtering
US8398832B2 (en) * 1996-05-09 2013-03-19 Applied Materials Inc. Coils for generating a plasma and for sputtering
US6695954B2 (en) * 1997-08-07 2004-02-24 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6579421B1 (en) 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
US8668816B2 (en) 1999-10-08 2014-03-11 Applied Materials Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6824658B2 (en) 2001-08-30 2004-11-30 Applied Materials, Inc. Partial turn coil for generating a plasma
US7513971B2 (en) 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
US20040018740A1 (en) * 2002-03-18 2004-01-29 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US9062372B2 (en) 2002-08-01 2015-06-23 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20040094402A1 (en) * 2002-08-01 2004-05-20 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6846396B2 (en) 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US20040026233A1 (en) * 2002-08-08 2004-02-12 Applied Materials, Inc. Active magnetic shielding
US20050263389A1 (en) * 2004-05-26 2005-12-01 Tza-Jing Gung Variable quadruple electromagnet array in plasma processing
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US8871064B2 (en) 2004-05-26 2014-10-28 Applied Materials, Inc. Electromagnet array in a sputter reactor
US20100155223A1 (en) * 2004-05-26 2010-06-24 Applied Materials, Inc. Electromagnet array in a sputter reactor
US20060213769A1 (en) * 2005-03-22 2006-09-28 Eal Lee Coils utilized in vapor deposition applications and methods of production
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US9481608B2 (en) 2005-07-13 2016-11-01 Applied Materials, Inc. Surface annealing of components for substrate processing chambers
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20080178801A1 (en) * 2007-01-29 2008-07-31 Applied Materials, Inc. Process kit for substrate processing chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8980045B2 (en) 2007-05-30 2015-03-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
US20100078312A1 (en) * 2008-09-26 2010-04-01 Tango Systems, Inc. Sputtering Chamber Having ICP Coil and Targets on Top Wall
US9272882B2 (en) 2009-12-18 2016-03-01 Otis Elevator Company Detection of people relative to a passenger conveyor with a capacitive sensor
RU2554085C2 (ru) * 2013-09-20 2015-06-27 Федеральное государственное бюджетное образовательное учреждение высшего образования "Московский государственный университет имени М.В. Ломоносова" (МГУ) Способ нагрева электродов и создания самостоятельного дугового разряда с поджигом от тонкой металлической проволочки в свободном пространстве в магнитном поле
RU2577040C2 (ru) * 2014-07-29 2016-03-10 Федеральное государственное бюджетное образовательное учреждение высшего образования "Московский государственный университет имени М.В. Ломоносова" (МГУ) Магнитный гаситель самостоятельного дугового разряда
US20160099130A1 (en) * 2014-10-01 2016-04-07 Nissin Electric Co., Ltd. Antenna for plasma generation and plasma processing device having the same
US9947511B2 (en) * 2014-10-01 2018-04-17 Nissin Electric Co., Ltd. Antenna for plasma generation and plasma processing device having the same
US20170253959A1 (en) * 2016-03-05 2017-09-07 Applied Materials, Inc. Methods and apparatus for controlling ion fraction in physical vapor deposition processes
US11037768B2 (en) * 2016-03-05 2021-06-15 Applied Materials, Inc. Methods and apparatus for controlling ion fraction in physical vapor deposition processes
US11810770B2 (en) 2016-03-05 2023-11-07 Applied Materials, Inc. Methods and apparatus for controlling ion fraction in physical vapor deposition processes
US12094699B2 (en) 2016-03-05 2024-09-17 Applied Materials, Inc. Methods and apparatus for controlling ion fraction in physical vapor deposition processes
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US12051573B2 (en) 2017-10-11 2024-07-30 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11952655B2 (en) 2022-03-29 2024-04-09 Applied Materials, Inc. Electromagnet pulsing effect on PVD step coverage
CN116997068A (zh) * 2023-09-25 2023-11-03 湘潭宏大真空技术股份有限公司 用于磁控溅射镀膜的等离子发生器及磁控溅射镀膜机
CN116997068B (zh) * 2023-09-25 2023-12-26 湘潭宏大真空技术股份有限公司 用于磁控溅射镀膜的等离子发生器及磁控溅射镀膜机

Also Published As

Publication number Publication date
US6783639B2 (en) 2004-08-31
JP2013117072A (ja) 2013-06-13
JP5751520B2 (ja) 2015-07-22
JPH1060638A (ja) 1998-03-03
JP4553992B2 (ja) 2010-09-29
US20040256217A1 (en) 2004-12-23
US20020144901A1 (en) 2002-10-10
JP5346178B2 (ja) 2013-11-20
JP2013256719A (ja) 2013-12-26
SG74011A1 (en) 2000-07-18
JP5751522B2 (ja) 2015-07-22
JP2009001902A (ja) 2009-01-08
EP0807954A1 (en) 1997-11-19
KR100547404B1 (ko) 2006-01-31

Similar Documents

Publication Publication Date Title
US6368469B1 (en) Coils for generating a plasma and for sputtering
US8398832B2 (en) Coils for generating a plasma and for sputtering
US6254737B1 (en) Active shield for generating a plasma for sputtering
US6238528B1 (en) Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6042700A (en) Adjustment of deposition uniformity in an inductively coupled plasma source
US5961793A (en) Method of reducing generation of particulate matter in a sputtering chamber
US6231725B1 (en) Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6660134B1 (en) Feedthrough overlap coil
JPH07188917A (ja) コリメーション装置
US6506287B1 (en) Overlap design of one-turn coil
EP0837490A2 (en) A method to eliminate coil sputtering in an inductively coupled plasma (ICP) source
EP0836219A2 (en) Active shield for generating a plasma for sputtering
US6235169B1 (en) Modulated power for ionized metal plasma deposition
US6409890B1 (en) Method and apparatus for forming a uniform layer on a workpiece during sputtering

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NULMAN, JAIM;EDELSTEIN, SERGIO;SUBRAMANI, ANANTHA;AND OTHERS;REEL/FRAME:008679/0612;SIGNING DATES FROM 19970728 TO 19970819

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12