GB2231197A - Plasma apparatus electrode assembly - Google Patents

Plasma apparatus electrode assembly Download PDF

Info

Publication number
GB2231197A
GB2231197A GB9004980A GB9004980A GB2231197A GB 2231197 A GB2231197 A GB 2231197A GB 9004980 A GB9004980 A GB 9004980A GB 9004980 A GB9004980 A GB 9004980A GB 2231197 A GB2231197 A GB 2231197A
Authority
GB
United Kingdom
Prior art keywords
plasma
electrode assembly
chamber
processing apparatus
primary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB9004980A
Other versions
GB9004980D0 (en
GB2231197B (en
Inventor
Mervyn Howard Davis
Gary Proudfoot
Keith Howard Bayliss
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nordiko Ltd
UK Atomic Energy Authority
Original Assignee
Nordiko Ltd
UK Atomic Energy Authority
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nordiko Ltd, UK Atomic Energy Authority filed Critical Nordiko Ltd
Publication of GB9004980D0 publication Critical patent/GB9004980D0/en
Publication of GB2231197A publication Critical patent/GB2231197A/en
Application granted granted Critical
Publication of GB2231197B publication Critical patent/GB2231197B/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy

Abstract

An electrode assembly for use in a plasma processing apparatus, e.g. for reactive dry etching or plasma deposition, comprises a primary electrode 13 with a conductive wall defining a plasma chamber 5 which is open at both ends and has an inlet 9 for gas, an insulator at one end of the plasma chamber for insulating the primary electrode when it is mounted on the body 2 of a vacuum chamber, r.f. generator 29, 10 associated with a dielectric member 8 extending across the other end of the chamber 5 for inductively generating a plasma in the gas in the plasma chamber 5 and a primary magnet arrangement 14, see also Figs 3-5 (not shown), which produces lines of magnetic flux within the plasma chamber which extend in a curve from the plasma chamber wall and return thereto so as to form an arch over a respective one of a plurality of wall regions which extend substantially longitudinally of the wall of the plasma chamber in a zone which is, in use, above and spaced from the substrate table 6, so as to trap electrons from the plasma adjacent the chamber wall. The r.f. generator coil 10 may be in the form of a substantially flat spiral which is adjacent to or embedded in the dielectric member 8 and additional secondary magnets 11, 12 provide a dipole field that penetrates the energising coil 10. <IMAGE>

Description

ELECTRODE ASSEMBLY AND APPARATUS This invention relates to an electrode assembly and to apparatus incorporating such an electrode assembly.
In particular it relates to an electrode assembly for use in plasma processing apparatus, such as a reactive dry etching apparatus or a plasma deposition apparatus.
The manufacture of integrated circuits on a wafer of a semi-conductor material generally involves a whole series of processing steps in the course of which layers of material are deposited on or grown on selected areas of the wafer or selected areas of the wafer are modified by techniques such as ion implantation so as to produce the desired junctions between semi-conductor layers of different composition.
A number of these processing steps may involve application of a photo-resist layer to the wafer in order to protect those areas of the wafer where treatment is not wanted. Often application of a photo-resist layer is preceded by an oxidation step in which the surface of the wafer is heated in a suitable oxidising atmosphere, e.g.
oxygen, in order to form a layer of silicon dioxide on the surface of the wafer. Thereafter it is necessary to etch the wafer in order to remove the layer of silicon dioxide from those areas which are not protected by the photo-resist layer so as to expose the underlying silicon-based substrate for further treatment, e.g. sputtering or ion implantation.
In any such etching step the aim is to effect anisotropic etching, that is to say to etch only material lying on that part of the surface of the wafer which is not protected by the photo-resist layer so that etching proceeds only in a direction normal to the surface of the underlying siliconbased layer and so that lateral etching, which would lead to undercutting of the edges of the photo-resist layer, is avoided.
Initially wet etching methods were used in order to strip silicon dioxide from the underlying silicon-based substrate, at least in those areas which are not protected by a photo-resist layer. The baths used for such etching processes contain noxious chemicals, such as hydrofluoric acid, which represent a considerable health and safety hazard. Moreover the use of liquid etching solutions complicates the production process. In addition etching occurs isotropically on all areas of the exposed silicon dioxide layer with the result that some undercutting of the edges of the photo-resist layer inevitably occurs, thus giving rise to loss of precision of definition of the areas protected by the photo-resist.
More recently there has been developed the socalled reactive dry etching process which can be used, for example, for removal of silicon dioxide layers. In this case the wafer to be etched is exposed to an atmosphere of an etchant gas, such as hexafluoroethane or a mixture of hexafluoroethane and trifluoromethane, which is simultaneously subjected to plasma discharge conditions.
The plasma discharge is thought to result in production of F ions and CF3 ions, as well as CF3 radicals; these reactive species then react with and remove the silicon dioxide so as to expose the underlying silicon based layer.
An apparatus has been described by C. Le Jeune et al for reactive dry etching or plasma deposition in which an r.f. frequency (13.56 MHz) is applied to a multi-tubular copper cathode mounted within an earthed cylindrical vacuum chamber having a plurality of elongate ferrite magnets arranged at spaced intervals around the periphery of the chamber, each with its magnetic axis arranged radially with respect to the chamber and with the magnets arranged with alternating polarities around the periphery of the chamber.
Gas can be admitted to the chamber which is further provided with an anticathode of independent polarity which is capacitively coupled to earth. Further details can be found in a paper which appeared in Proceedings of the 3me Symposium International sur le Gravure Seche et le Dep6t Plasma en Microelectronique, Cachan, 26-29 November 1985, pages 145 to 153 entitled "Triode HF a confinement multipolaire pour racteur de gravure ou de dpt".
The use of microwaves to produce plasmas for anisotropic etching of silicon using SF6/Ar has been described by C. Pomot et al, J. Vac. Sci, Technol., B4(1), Jan/Feb 1986, pages 1 to 5. This apparatus includes a cylindrical vacuum chamber, operating at earth potential for safety reasons, which is lined with permanent magnets.
A plasma etching reactor with a multipolar field confinement layer is described by T.D. Mantei et al in Appl.
Phys. Lett. 43(1), 1 July 1983, pages 84 to 86, in Abstract No. 279 in Extended Abstracts of the Electrochemical Society Meeting, Vol. 86-2, (1986), page 418, and in Solid State Technology, April 1985, pages 263 to 265. The magnets are positioned around the vacuum chamber wall which must be earthed for safety reasons. Hot tungsten filaments are used to produce the plasma. However the use of hot filaments is unsatisfactory as they burn out after a relatively short period of operation and there is a risk of sputtered material from the filaments contaminating the wafer or other substrate being etched.
For deposition of layers on a semi-conductor wafer one technique that can be used is plasma deposition. This process is also known as glow discharge deposition or as plasma enhanced chemical vapour deposition. For example, a layer of silicon can be applied by exposing the wafer to an atmosphere of silane under plasma producing conditions. The methods used heretofore have, however, been relatively slow processes and their use in production of integrated microcircuits represents a bottle neck in the overall production process.
Use of a cylindrical multipole magnetic wall in conjunction with a hot cathode d.c. discharge for production of a silane plasma is described by B. Drevillon et al in Appl. Phys. Lett. 37(7), 1 October 1980, pages 646 to 648.
This apparatus uses hot filaments which burn out in use and may result in contamination of the deposited silicon layer with material sputtered from the hot filament.
Plasma generation by means of r.f. excitation relies upon the ability of electrons to respond to the high frequency field and the inability of ions to do so because of their relatively high inertia. As a result electrons are stripped off the gas molecules. The electrons then become trapped by the magnetic confinement cusps formed by the alternately north and south poles which face the plasma, leaving a positively charged plasma in the central part of the plasma generation chamber.
An electrode assembly with a primary electrode which can be connected to an r.f. generator is described in EP-A-0261922. This electrode assembly can be used for plasma etching or for plasma deposition.
The present invention seeks to provide an improved form of electrode assembly for use in plasma processing and an improved form of plasma processing apparatus incorporating such an electrode assembly. In particular it seeks to provide an electrode assembly and apparatus capable of efficient operation at commercially acceptable radio frequencies such as 13.56 MHz or a multiple thereof.
According to the present invention there is provided an electrode assembly for use in a plasma processing apparatus comprising an open-ended body defining a first part of a vacuum chamber and-a substrate table within the first part of the vacuum chamber adapted for supporting a substrate to be treated and for connection to a power source, the electrode assembly comprising:: (a) a primary electrode comprising electrically conductive wall means defining a plasma chamber which is open at both ends; (b) a dielectric member which extends across one end of the chamber; (c) insulating means at the other end of the plasma chamber for insulating the primary electrode from the body of the vacuum chamber when the electrode assembly is mounted on the body of the vacuum chamber; (d) gas inlet means for admission to the plasma chamber of a plasma forming gas; (e) r.f. generator means associated with the dielectric member for inductively generating a plasma in the gas in the plasma chamber; and (f) primary magnet means for trapping electrons adjacent the walt of the plasma chamber in use of the electrode assembly by producing lines of magnetic flux within the plasma chamber which extend in a curve from the wall of the plasma chamber and return thereto so as to form an arch over a respective one of a plurality of wall regions which extend substantially longitudinally of the wall of the plasma chamber in a zone which is, in use, above and spaced from the substrate table.
The invention further provides a plasma processing apparatus for treating a substrate under plasma forming conditions in a reactant gas atmosphere comprising (1) a vacuum chamber comprising an open-ended body defining a first part of a vacuum chamber; (2) a substrate table within the first part of the vacuum chamber adapted for supporting a substrate to be treated and for connection to a power source; and (3) an electrode assembly fitted to the open end of the first part of the vacuum chamber in vacuum tight manner and defining a second part of the vacuum chamber; the electrode assembly comprising: (a) a primary electrode comprising electrically conductive wall means defining a plasma chamber which is open at both ends and provides the second part of the vacuum chamber; (b) a dielectric member which extends across one end of the plasma chamber; (c) insulating means at the other end of the plasma chamber for insulating the primary electrode from the body of the vacuum chamber; (d) gas inlet means for admission to the plasma chamber of a plasma forming gas; (e) r.f. generator means associated with the dielectric member for inductively generating a plasma in the gas in the plasma chamber; and (f) primary magnet means for trapping electrons adjacent the wall of the plasma chamber in use of the ion gun by producing lines of magnetic flux within the plasma chamber which extend in a curve from the wall of the plasma chamber and return thereto so as to form an arch over a respective one of a plurality of wall regions which extend substantially longitudinally of the wall of the plasma chamber in a zone above and spaced from the substrate table.
In the electrode assembly of the present invention inductive r.f. coupling is used to generate a plasma in the plasma chamber. This is in contrast to the prior art designs of electrode assembly, such as that of EP-A-0261922, which utilise capacitative r.f. coupling to generate the plasma.
Although it is possible to use a concave or dished dielectric member to extend across the said one end of the plasma chamber so that there is a cavity formed by the dielectric member at that end of the plasma chamber, it is preferred to use as near flat a dielectric member as possible. Hence minimal dishing of the dielectric member is preferred. However, it may not be practical to avoid all dishing of the dielectric member as it must be ensured that the integrity of the vacuum equipment be preserved and that all risk of fracture of the dielectric member due to pressure differences exerted across it during operation is substantially obviated.
Preferably the r.f. generator means associated with the dielectric member comprises a coil which lies adjacent to, or is embedded within, the dielectric member.
Hence the coil is preferably flat or as near flat as is practicable. Preferably it comprises a spirally wound coil.
With this preferred configuration it is possible to utilise efficiently relatively high frequency r.f.
signals, e.g. signals of 13.56 MHz. Optimisation of the plasma density within the plasma chamber, when the plasma is generated within a radio frequency inductively driven plasma chamber, is a function of power supply frequency and the design of energising coil used. With the preferred substantially flat spirally wound generator coil the advantages are: 1. The inductance associated with the plasma loop current of ionising electrons can be kept reasonably low.
This prevents the induced electric field from reaching values where the energy gained by the electrons between gas collisions would be such as to take their ionisation cross section well past its optimum.
2. Losses to the walls are minimised.
3. High energy ionising electrons spiralling out of the plasma loop are contained in the electrode structure.
This effect can be further enhanced by a superimposed magnetic dipole field which penetrates the energising coil.
4. There is an electrodynamic force which tends to push the whole plasma loop current down towards the substrate table.
5. The Q factor of the drive coil is reasonably high which in turn means a high power efficiency.
At the ion densities required for adequate extracted beam current density the bulk plasma behaves as a good conductor and hence has a low skin depth to r.f.
electromagnetic fields. This means for inductive coupling that the induced currents flow in circuits which are largely on the plasma surface and close to the driving coil. The impedance which the plasma presents to the induced currents may be shown to be a series combination of inductance and resistance, and therefore the plasma loop driving potential, i.e. the line integral of the induced electric field, is the sum of two vectors at 900 to each other in the time domain.
Primary ionizing electrons within the plasma skin depth are accelerated by this field and ideally the average energy gained should be such as to take the electrons ionising cross section, which is a function of their energy, to its maximum value. If the induced electric field is too large, as a result of adverse geometry etc, electrons gain so much energy before collision that their cross section falls to a low value and low ionization results almost regardless of power input. A correct balance is required between the resistive and inductive components of the electric field for the particular conditions of operation, hence the inductive component must not be too large. At 13.56 MHz using a solenoidal energising coil the plasma inductive reactance is getting too high but for a relatively small spiral coil the plasma reactance is smaller.
The flat spiral coil has further advantages in that it allows the total surface area exposed to plasma to be considerably reduced and this reduces the loss of electrons to the plasma chamber walls.
It may further be shown that the overall power efficiency of the coupling transformer, which is formed with the driving coil as primary and the plasma circuit as secondary is given by
where k is the coefficient of coupling and the Q terms represent the quality factors of the primary and secondary circuits, e.g. Qp = wLp/p the ratio of coil inductive reactance to its resistance. Maximum efficiency is achieved for arbitrary plasma conditions when k2Qp is as high as possible; k is maximised by placing the coil as close to the plasma as other restrictions will allow and then the driving coil's Qp must not fall to values so low as to produce thermal "runaway".This can occur if a coil is not optimally wound or is not water cooled, whereby, as a result of the positive temperature coefficient of copper, a rise in the coil's temperature produces a rise in its a.c. resistance (p) and hence a further rise in temperature. The efficiency of the driving transformer then falls since Qp falls with increasing zp and most of the power supplied goes to heating the coil instead of energising the plasma.
Simple coil structures always yield the greatest Q values and the spiral is no exception although it may have a lower Q than a large solenoid.
By appropriate choice of geometry for the spiral driving coil and by modifying the magnetic field strength and/or distribution within the plasma chamber it is possible to tune the excitation of the discharge for a variety of gases, e.g. Ar, 2 or N2.
The primary electrode may be allowed to adopt a floating potential in use of the electrode assembly.
Alternatively the primary electrode may be arranged for connection to earth. A switch may be provided to allow the primary electrode either to adopt a floating voltage or to be connected to earth.
The substrate table is preferably connected to an r.f. power source.
The plasma chamber may have any convenient cross section and its interior dimensions may vary with depth from the open end or from one of its open ends. In a particularly preferred form the plasma chamber has a substantially cylindrical wall; in this case the plasma chamber is of circular cross section. However it is also envisaged that the plasma chamber can be elliptical in section or polygonal in section, e.g. octagonal or duodecagonal.
Although it is convenient to form the plasma chamber of uniform cross section throughout its depth, it is alternatively possible to form the primary electrode with a plasma chamber of varying cross section, for example a conical plasma chamber or a barrel-shaped plasma chamber.
The primary magnet means conveniently comprises an annular pole plate and a plurality of elongate magnets magnetically coupled with the pole plate, each aligned substantially longitudinally with respect to the plasma camber and each having its magnetic axis aligned substantially radially with respect to the plasma chamber, and with the polarities of the magnets alternating around the pole plate. Conveniently permanent magnets are used, although the use of electromagnets is not ruled out.
The primary magnets are preferably selected to have a magnetic field strength of at least about 1 kGauss, for example a magnetic field strength in the range of from about 1 kGauss to about 2 kGauss. Such field strengths can be attained with the aid of rare earth magnets. such as samarium-cobalt magnets.
This multipolar arrangement of primary magnets gives rise to a magnetic field within the plasma chamber whose lines of magnetic force form arches over longitudinal regions of the wall of the plasma chamber from the north pole of one magnet to the south pole of each of the magnets adjacent thereto. In this way a series of more or less open-ended magnetic "tunnels", each extending longitudinally of the plasma chamber and parallel to its axis, is formed.
This magnetic field pattern traps many, but not all, of the electrons formed in production of the plasma and enhances the plasma density. However, some of the electrons can escape to the wall of the body of the vacuum chamber, thereby inducing a suitable negative voltage on the primary electrode, for example a voltage in the range of from about - 10 volts to about - 50 volts. Such a self bias voltage should not be so large, however, that there is danger of sputtering of the electrode materials, since sputtered material could contaminate a substrate being treated.
Separate r.f. power sources may be used to provide r.f. power to the r.f. generator means and to the substrate table respectively. Alternatively a single r.f. power supply may be used, the output from which is divided between the r.f. generator means and the substrate table.
Typically the r.f. generator means associated with the dielectric member operates at a frequency in the range of from about 1 MHz up to about 45 MHz, e.g. at about 2 MHz or, more preferably, at one of the industrially allotted wavebands within this range of frequencies, e.g. at 13.56 MHz or 27.12 MHz or 40.68 MHz.
In a preferred form an electrode assembly according to the invention further includes secondary magnet means associated with the r.f. generator means for producing a magnetic dipole field that penetrates the r.f. energising coil or other form of r.f. generator means.
The plasma processing apparatus is operated under plasma forming conditions. Such conditions include operation at a low pressure, preferably at a pressure of less than about 10 4 millibar. Typically the pressure of operation lies in the range of from about 5 x 10-4 millibar to about 10'2 millibar, e.g. about 2 x 10 3 millibar.
In a reactive dry etching process for etching silicon dioxide layers, the reactant gas may be, for example, a silicon halide, such as SiF4 or SiC14, or a halocarbon, such as a fluorocarbon, such as hexafluoroethane or a mixture of hexafluoroethane and trifluoromethane.
Materials containing both chlorine and fluorine substituents may also be used, such as CF2Cl2.
In a typical plasma deposition process, the reactant gas is silane (SiH4).
The voltage of the primary electrode, in operation, if this is allowed to adopt a floating potential, is typically from about -20 volts to about -40 volts, whilst the voltage of the substrate table may vary from about -100 volts up to about -2000 volts.
In order that the invention may be clearly understood and readily carried into effect, a preferred form of plasma processing apparatus will now be described, by way of example only, with reference to the accompanying semidiagrammatic drawings, in which: Figure 1 is a vertical section through a plasma processing apparatus; Figure 2 is a plan view of the top of the electrode assembly of the apparatus of Figure 1; Figure 3 is a partial horizontal section through the plasma chamber of the apparatus of Figures 1 and 2; Figure 4 is an enlarged view of part of Figure 3; Figure 5 is a view of the primary magnet array of the apparatus of Figures 1 to 4; and Figure 6 illustrates the magnetic field produced by the secondary magnets of the electrode assembly shown in Figures 1 and 2.
Referring to the drawings, a plasma processing apparatus 1 comprises a vacuum chamber (indicated diagrammatically at 2) surmounted by an electrode assembly 3. Electrode assembly 3 comprises a plasma generator 4 mounted on top of an open ended plasma chamber 5. A substrate table 6 carrying a substrate 7 which is to be subjected to ion etching, such as a silicon wafer, is placed below plasma chamber 5 in the vacuum chamber 2.
Plasma generator 4 comprises a dielectric member 8 which closes the top open end of plasma chamber 5. A number of gas inlet nozzles are provided, as indicated by arrows 9, through which a plasma forming gas, such as argon, or a mixture of a plasma forming gas and an etchant gas, such as 2 C12 SF6, CF4, C2F6 or a C2F6/CHF3 mixture, can be admitted to the plasma chamber 5. An r.f. coil 10 surmounts member 8 and is connected to a suitable r.f. power source operating at, for example 13.56 MHz. Magnets 11, 12 are provided for a purpose which will be further described below.
Plasma chamber 5 comprises an open-ended metallic body 13, made of aluminium or of an aluminium alloy or of another conductive non-magnetic material, within which are mounted a plurality of primary bar magnets 14. For ease of assembly body 13 is made in two parts, i.e. an inner part 15 and an outer part 16, between which the primary magnets 14 are positioned.
As can be seen from Figure 3, there are thirty-two primary bar magnets 14 secured longitudinally to the cylindrical outer face of inner part 15. Preferably the strongest available magnets, e.g. rare earth magnets, such as samarium-cobalt magnets, are used. Typically such magnets exhibit a field strength of the order of 1 to 2 kGauss. As illustrated in Figure 3 there are thirty-two primary magnets 14. However, a larger or smaller number of primary magnets, for example thirty or less (e.g. twentyfour) or up to forty or more (e.g. forty-eight), may be used, provided always that there is an even number of primary magnets 14. Such primary magnets 14 are evenly spaced around the outer periphery of inner part 15 with their longest dimension arranged substantially parallel to the axis of the plasma chamber 5.As indicated in Figure 4, however, the magnetic axes of primary magnets 14 are arranged radially with respect to plasma chamber 5 so that their respective north and south poles (indicated as N and S respectively in Figure 4) are separated in the direction of their shortest dimension, the primary magnets 14 being arranged with alternating magnetic polarity around the periphery of inner part 15.
Above primary magnets 14 is an annular groove 17 and below them a corresponding annular groove 18. Grooves 17 and 18 communicate one with another via spaces 19 between adjacent primary magnets 14. The grooves 17 and 18 and the spaces 19 form channels for coolant fluid (e.g. water) by means of which the primary magnets 14 and body 13 can be cooled in use. Reference numerals 20 and 21 indicate coolant fluid supply and withdrawal conduits provided in annular member 22. Baffles 23, 24 are provided in grooves 17, 18, as can be seen in Figure 5, in order to make the coolant fluid follow a predetermined path.
Figure 4 indicates the lines of magnetic force 25 produced by primary magnets 14. These lines of force extend from the inner surface of body 13 into cavity 26 in plasma chamber 5 and back into the wall of cavity 26 in an arch over regions 27 which extend parallel to the axis of body 5.
Lines of force 25 trap electrons from the plasma which is formed in plasma chamber 5, leaving the gas in the central part of plasma chamber 5 depleted of electrons and containing free positive ions, such as F+ and CF3+ions.
Conveniently r.f. power supply 30 is driven at the same frequency as r.f. generator coil 10, e.g. 13.56 MHz.
Figure 2 illustrates in plan view the positions of the optional secondary magnets 11 and 12 relative to the r.f.
generator coil 10. These secondary magnets produce a magnetic dipole field which penetrates the energizing coil 10. The shape of this magnetic field is shown diagrammatically in Figure 6. As can be seen from Figure 6 magnets 11 and 12 have their axes of magnetisation arranged so that either a north pole or a south pole faces the dielectric member 8 and so that the lines of force 28 penetrate the r.f. generator coil 10 and form an arch over the inner face of dielectric member 8.
Reference numeral 29 indicates an r.f. power source connected to coil 10. A similar r.f. power source 30 is used to deliver r.f. power to substrate table 6 via capacitor 31. Primary electrode 13 is either earthed or is left on open circuit 30 so that it can adopt a floating voltage.
Vacuum chamber 2 can be evacuated by means of a suitable vacuum pumping system 32 connected via line 33 to vacuum chamber 2.
In use of plasma processing apparatus 1 vacuum chamber 2 is evacuated to a pressure of typically about 10-5 millibar to about 10-7 millibar. A plasma forming gas, e.g. argon, a mixture of a plasma forming gas and a reactive gas,e.g.
02, 2 SF6, CF4, C2F6 or a C2F6/CHF3 mixture (for example, a 20:1 C2F6:CHF3 molar mixture), is admitted via inlets 9.
R.f. coil 10 is then excited to generate a plasma.
Electrons released are trapped within regions 27 by the magnetic lines of force 24.
Under the influence of the r.f. signal from coil 10 the gas supplied via inlets 9 is dissociated to form a plasma of ions and free electrons in plasma chamber 5, the ions filling the central part of chamber 5 whilst the electrons are trapped adjacent the walls of chamber 5 by the magnetic lines of force 24. Because of the geometrical separation of the r.f. generating coil 10 from the zones 27 of the magnetic confinement region in plasma chamber 5 the plasma in the central part of chamber 5 is substantially uniform and has a relatively low plasma potential. Because the arches formed by the lines of magnetic force 24 over the regions 27 are open ended some of the electrons can escape to the substrate table 6. This gives rise to a negative bias on substrate table 6 which consequently attracts the positive ions (e.g. F+ and/or CF3+ if C2F6 or a C2F6/CHF3 mixture is used).This enhances bombardment of the substrate 7 with such ions, thereby enhancing the etching rate.
Typically the power-delivered by r.f. power supply 30 is of the order of 75 watts whilst the power delivered by r.f. power supply 29 is of the order of 1 kilowatt. This results in establishment of a reactive plasma as indicated by development of a lilac glow within vacuum chamber 2. The magnetic field pattern established by magnets 4 enhances the plasma density. Under such conditions a high rate of etching of silicon dioxide can be achieved with very good uniformity of etching over the wafer. Highly anisotropic etching is also observed from the areas of the wafer 7 which are not protected by the photo-resist until the underlying silicon layer is exposed.To prevent lateral etching of the silicon dioxide layer under the photo-resist and hence undercutting of the photo-resist layer, it is important to stop etching after the areas not protected by photo-resist have been laid bare of silicon dioxide and before any significant amount of lateral etching can take place.
In a similar way it is possible to use the illustrated apparatus for plasma deposition of materials on a substrate, such as a silicon wafer, for example by plasma decomposition of silicon hydride to deposit silicon.
It will be appreciated by those skilled in the art that, although two separate r.f. power sources 29 and 30 are shown, the necessary r.f. frequency power can be drawn from a single power source and divided as necessary.
In a modification of the illustrated apparatus a load lock is provided for introduction of silicon wafers.
In this way the step of opening the chamber 1 by raising its upper portion is obviated.
It will be appreciated by those skilled in the art that, as the drawings are diagrammatic, further items, such as securing bolts, insulating sleeves therefor, clamps, 0 rings and gaskets, will be required in practice in order to enable the necessary low pressure operating environment within vacuum chamber 2 to be established and maintained.
Such items of equipment will be provided as necessary in accordance with conventional practice.
As a heated cathode is not used to generate the plasma the illustrated apparatus can be used with any type of inert or reactive gas. Typical gases that can be used include argon, 02, C12, SF6, CF4, C2F6, CHF3 and mixtures of two or more thereof.

Claims (37)

1. An electrode assembly for use in a plasma processing apparatus comprising an open-ended body defining a first part of a vacuum chamber and a substrate table within the first part of the vacuum chamber adapted for supporting a substrate to be treated and for connection to a power source, the electrode assembly comprising:: (a) a primary electrode comprising electrically conductive wall means defining a plasma chamber which is open at both ends; (b) a dielectric member which extends across one end of the chamber; (c) insulating means at the other end of the plasma chamber for insulating the primary electrode from the body of the vacuum chamber when the electrode assembly is mounted on the body of the vacuum chamber; (d) gas inlet means for admission to the plasma chamber of a plasma forming gas; (e) r.f. generator means associated with the dielectric member for inductively generating a plasma in the gas in the plasma chamber; and (f) primary magnet means for trapping electrons adjacent the wall of the plasma chamber in use of the electrode assembly by producing lines of magnetic flux within the plasma chamber which extend in a curve from the wall of the plasma chamber and return thereto so as to form an arch over a respective one of a plurality of wall regions which extend substantially longitudinally of the wall of the plasma chamber in a zone which is, in use, above and spaced from the substrate table.
2. An electrode assembly according to claim 1, in which the dielectric member is flat or is minimally dished.
3. An electrode assembly according to claim 1 or claim 2, in which the r.f. generator means associated with the dielectric member comprises a coil which lies adjacent to, or is embedded within, the dielectric member.
4. An electrode assembly according to claim 3, in which the coil is flat or substantially flat.
5. An electrode assembly according to claim 3 or claim 4, in which the r.f. generator means comprises a spirally wound coil.
6. An electrode assembly according to any one of claims 1 to 5, in which the primary electrode is arranged so that it can adopt a floating potential in use of the electrode assembly.
7. An electrode assembly according to any one of claims 1 to 5, in which the primary electrode is arranged for connection to earth.
8. An electrode assembly according to any one of claims 1 to 5, in which a switch is provided to allow the primary electrode either to adopt a floating voltage or to be connected to earth.
9. An electrode assembly according to any one of claims 1 to 8, in which the plasma chamber has a substantially cylindrical wall.
10. An electrode assembly according to any one of claims 1 to 9, in which the primary magnet means comprises an annular pole plate and a plurality of elongate magnets magnetically coupled with the pole plate, each aligned substantially longitudinally with respect to the plasma chamber and each having its magnetic axis aligned substantially radially with respect to the plasma chamber, and with the polarities of the magnets alternating around the pole plate.
11. An electrode assembly according to claim 10, in which the primary magnets are permanent magnets.
12. An electrode assembly according to claim 11, in which the primary magnets have a magnetic field strength in the range of from about 1 kGauss to about 2 kGauss.
13. An electrode assembly according to claim 12, in which the primary magnets are rare earth magnets.
14. An electrode assembly according to any one of claims 1 to 13, in which the r.f. generator means associated with the dielectric member is arranged to operate at a frequency in the range of from about 1 MHz up to about 45 MHz.
15. An electrode assembly according to claim 14, in which the r.f. generator means is arranged to operate at 13.56 MHz.
16. An electrode assembly according to any one of claims 1 to 15, which further includes secondary magnet means associated with the r.f. generator means for producing a magnetic dipole field that penetrates the r.f. generator means.
17. An electrode assembly according to claim 1 constructed and arranged substantially as herein described and illustrated in the accompanying drawings.
18. A plasma processing apparatus for treating a substrate under plasma forming conditions in a reactant gas atmosphere comprising (1) a vacuum chamber comprising an open-ended body defining a first part of a vacuum chamber; (2) a substrate table within the first part of the vacuum chamber adapted for supporting a substrate to be treated and for connection to a power source; and (3) an electrode assembly fitted to the open end of the first part of the vacuum chamber in vacuum tight manner and defining a second part of the vacuum chamber; the electrode assembly comprising: (a) a primary electrode comprising electrically conductive wall means defining a plasma chamber which is open at both ends and provides the second part of the vacuum chamber; (b) a dielectric member which extends across one end of the plasma chamber; (c) insulating means at the other end of the plasma chamber for insulating the primary electrode from the body of the vacuum chamber; (d) gas inlet means for admission to the plasma chamber of a plasma forming gas; (e) r.f. generator means associated with the dielectric member for inductively generating a plasma in the gas in the plasma chamber; and (f) primary magnet means for trapping electrons adjacent the wall of the plasma chamber in use of the ion gun by producing lines of magnetic flux within the plasma chamber which extend in a curve from the wall of the plasma chamber and return thereto so as to form an arch over a respective one of a plurality of wall regions which extend substantially longitudinally of the wall of the plasma chamber in a zone above and spaced from the substrate table.
19. A plasma processing apparatus according to claim 18, in which the dielectric member is flat or is minimally dished.
20. A plasma processing apparatus according to claim 18 or claim 19, in which the r.f. generator means associated with the dielectric member comprises a coil which lies adjacent to, or is embedded within, the dielectric member.
21. A plasma processing apparatus according to claim 20, in which the coil is flat or substantially flat.
22. A plasma processing apparatus according to claim 20 or claim 21, in which the r.f. generator means comprises a spirally wound coil.
23. A plasma processing apparatus according to any one of claims 18 to 22, in which the primary electrode is arranged so that it can adopt a floating potential in use.
24. A plasma processing apparatus according to any one of claims 18 to 22, in which the primary electrode is arranged for connection to earth.
25. A plasma processing apparatus according to any one of claims 18 to 22, in which a switch is provided to allow the primary electrode either to adopt a floating voltage or to be connected to earth.
26. A plasma processing apparatus according to any one of claims 18 to 25, in which the substrate table is connected to an r.f. power source.
27. A plasma processing apparatus according to any one of claims 18 to 26, in which the plasma chamber has a substantially cylindrical wall.
28. A plasma processing apparatus according to any one of claims 18 to 27, in which the primary magnet means comprises an annular pole plate and a plurality of elongate magnets magnetically coupled with the pole plate, each aligned substantially longitudinally with respect to the plasma chamber and each having its magnetic axis aligned substantially radially with respect to the plasma chamber, and with the polarities of the magnets alternating around the pole plate.
29. A plasma processing apparatus according to claim 28, in which the primary magnets are permanent magnets.
30. A plasma processing apparatus according to claim 28 or claim 29, in which primary magnets are selected to have a magnetic field strength in the range of from about 1 kGauss to about 2 kGauss.
31. A plasma processing apparatus according to claim 30, in which the primary magnets are rare earth magnets.
32. A plasma processing apparatus according to any one of claims 18 to 31, in which separate r.f. power sources are used to provide r.f. power to the r.f. generator means and to the substrate table respectively.
33. A plasma processing apparatus according to any one of claims 18 to 31, in which a single r.f. power supply is used, the output from which is divided between the r.f.
generator means and the substrate table.
34. A plasma processing apparatus according to any one of claims 18 to 33, in which the r.f. generator means associated with the dielectric body operates at a frequency in the range of from about 1 MHz up to about 45 MHz.
35. A plasma processing apparatus according to claim 34, in which the r.f. generator means is arranged to operate at 13.56 MHz.
36. A plasma processing apparatus according to any one of claims 18 to 35, in which the electrode assembly further includes secondary magnet means associated with the r.f.
generator means for producing a magnetic dipole field that penetrates the r.f. generator means.
37. A plasma processing apparatus according to claim 18 constructed and arranged substantially as herein described and illustrated in the accompanying drawings.
GB9004980A 1989-03-06 1990-03-06 Electrode assembly and apparatus Expired - Lifetime GB2231197B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
GB898905075A GB8905075D0 (en) 1989-03-06 1989-03-06 Electrode assembly and apparatus

Publications (3)

Publication Number Publication Date
GB9004980D0 GB9004980D0 (en) 1990-05-02
GB2231197A true GB2231197A (en) 1990-11-07
GB2231197B GB2231197B (en) 1994-03-30

Family

ID=10652818

Family Applications (2)

Application Number Title Priority Date Filing Date
GB898905075A Pending GB8905075D0 (en) 1989-03-06 1989-03-06 Electrode assembly and apparatus
GB9004980A Expired - Lifetime GB2231197B (en) 1989-03-06 1990-03-06 Electrode assembly and apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
GB898905075A Pending GB8905075D0 (en) 1989-03-06 1989-03-06 Electrode assembly and apparatus

Country Status (1)

Country Link
GB (2) GB8905075D0 (en)

Cited By (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0553704A1 (en) * 1992-01-30 1993-08-04 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
DE4319717A1 (en) * 1992-06-18 1993-12-23 John S Ogle Device for generating planar low pressure plasma using a coil with its axis parallel to the surface of a coupling window
EP0596551A1 (en) * 1992-11-04 1994-05-11 Novellus Systems, Inc. Induction plasma source
EP0607797A1 (en) * 1993-01-19 1994-07-27 International Business Machines Corporation An apparatus and method for enhanced inductive coupling to plasmas with reduced sputter contamination
EP0633713A1 (en) * 1993-07-05 1995-01-11 Alcatel Cit Plasma reactor for a deposition and etching method
EP0641013A2 (en) * 1993-08-27 1995-03-01 Applied Materials, Inc. High density plasma CVD and etching reactor
DE4337309A1 (en) * 1993-08-26 1995-03-02 Leybold Ag Method and device for etching thin films, preferably indium tin oxide films
EP0690666A1 (en) * 1994-06-30 1996-01-03 Texas Instruments Incorporated Structure and method for semiconductor processing
EP0710055A1 (en) * 1994-10-31 1996-05-01 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
WO1996030928A1 (en) * 1995-03-31 1996-10-03 Applied Vision Ltd Plasma source
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
EP0779645A3 (en) * 1995-12-12 1997-09-17 Applied Materials Inc
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
EP0838843A2 (en) * 1996-10-24 1998-04-29 Applied Materials, Inc. Parallel-plate electrode plasma reactor having inductive antenna and adjustable radial distribution of plasma ion density
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5785878A (en) * 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
WO1998037739A2 (en) * 1997-02-24 1998-08-27 Novellus Systems, Inc. Induction plasma source including convex dome-shaped induction coil
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6045666A (en) * 1995-08-07 2000-04-04 Applied Materials, Inc. Aluminum hole filling method using ionized metal adhesion layer
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
WO2000039838A2 (en) * 1998-12-30 2000-07-06 Lam Research Corporation Method for igniting a plasma inside a plasma processing reactor
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6228176B1 (en) 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6228229B1 (en) * 1995-11-15 2001-05-08 Applied Materials, Inc. Method and apparatus for generating a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
WO2001037315A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Plasma processing systems and method therefor
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6359250B1 (en) 1998-07-13 2002-03-19 Applied Komatsu Technology, Inc. RF matching network with distributed outputs
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6451179B1 (en) 1997-01-30 2002-09-17 Applied Materials, Inc. Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6465051B1 (en) 1994-04-28 2002-10-15 Applied Materials, Inc. Method of operating high density plasma CVD reactor with combined inductive and capacitive coupling
US6475356B1 (en) 1996-11-21 2002-11-05 Applied Materials, Inc. Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6475335B1 (en) 1995-02-15 2002-11-05 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US6544862B1 (en) 2000-01-14 2003-04-08 Silicon Genesis Corporation Particle distribution method and resulting structure for a layer transfer process
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
JP3499104B2 (en) 1996-03-01 2004-02-23 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6790747B2 (en) 1997-05-12 2004-09-14 Silicon Genesis Corporation Method and device for controlled cleaving process
US7074714B2 (en) 1997-11-26 2006-07-11 Applied Materials, Inc. Method of depositing a metal seed layer on semiconductor substrates
US7759217B2 (en) 1997-05-12 2010-07-20 Silicon Genesis Corporation Controlled process and resulting device
US7776717B2 (en) 1997-05-12 2010-08-17 Silicon Genesis Corporation Controlled process and resulting device
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
CN1662114B (en) * 2004-02-25 2011-11-16 周星工程股份有限公司 Plasma antenna
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US8398832B2 (en) 1996-05-09 2013-03-19 Applied Materials Inc. Coils for generating a plasma and for sputtering
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US9545360B2 (en) 2009-05-13 2017-01-17 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
US9572526B2 (en) 2009-05-13 2017-02-21 Sio2 Medical Products, Inc. Apparatus and method for transporting a vessel to and from a PECVD processing station
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9664626B2 (en) 2012-11-01 2017-05-30 Sio2 Medical Products, Inc. Coating inspection method
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US10189603B2 (en) 2011-11-11 2019-01-29 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US10201660B2 (en) 2012-11-30 2019-02-12 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition on medical syringes, cartridges, and the like
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US11077233B2 (en) 2015-08-18 2021-08-03 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6023038A (en) 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1230982A (en) * 1967-05-11 1971-05-05
EP0073963A2 (en) * 1981-09-01 1983-03-16 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
EP0184812A2 (en) * 1984-12-11 1986-06-18 Hitachi, Ltd. High frequency plasma generation apparatus
GB2170350A (en) * 1984-12-13 1986-07-30 Stc Plc Plasma reactor vessel and process
EP0261922A2 (en) * 1986-09-23 1988-03-30 Nordiko Limited Electrode assembly and apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1230982A (en) * 1967-05-11 1971-05-05
EP0073963A2 (en) * 1981-09-01 1983-03-16 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
EP0184812A2 (en) * 1984-12-11 1986-06-18 Hitachi, Ltd. High frequency plasma generation apparatus
GB2170350A (en) * 1984-12-13 1986-07-30 Stc Plc Plasma reactor vessel and process
EP0261922A2 (en) * 1986-09-23 1988-03-30 Nordiko Limited Electrode assembly and apparatus

Cited By (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
EP0553704A1 (en) * 1992-01-30 1993-08-04 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
DE4319717A1 (en) * 1992-06-18 1993-12-23 John S Ogle Device for generating planar low pressure plasma using a coil with its axis parallel to the surface of a coupling window
US5605599A (en) * 1992-11-04 1997-02-25 Novellus Systems, Inc. Method of generating plasma having high ion density for substrate processing operation
EP0596551A1 (en) * 1992-11-04 1994-05-11 Novellus Systems, Inc. Induction plasma source
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5405480A (en) * 1992-11-04 1995-04-11 Novellus Systems, Inc. Induction plasma source
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
EP0607797A1 (en) * 1993-01-19 1994-07-27 International Business Machines Corporation An apparatus and method for enhanced inductive coupling to plasmas with reduced sputter contamination
EP0633713A1 (en) * 1993-07-05 1995-01-11 Alcatel Cit Plasma reactor for a deposition and etching method
FR2707449A1 (en) * 1993-07-05 1995-01-13 Cit Alcatel Plasma reactor for a deposition or etching process.
DE4337309A1 (en) * 1993-08-26 1995-03-02 Leybold Ag Method and device for etching thin films, preferably indium tin oxide films
EP0794553A2 (en) * 1993-08-27 1997-09-10 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US6220201B1 (en) 1993-08-27 2001-04-24 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
EP0641013A2 (en) * 1993-08-27 1995-03-01 Applied Materials, Inc. High density plasma CVD and etching reactor
US5976308A (en) * 1993-08-27 1999-11-02 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0641013A3 (en) * 1993-08-27 1995-07-12 Applied Materials Inc High density plasma CVD and etching reactor.
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0794553A3 (en) * 1993-08-27 1998-05-20 Applied Materials, Inc. High density plasma CVD and etching reactor
US6465051B1 (en) 1994-04-28 2002-10-15 Applied Materials, Inc. Method of operating high density plasma CVD reactor with combined inductive and capacitive coupling
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
EP0690666A1 (en) * 1994-06-30 1996-01-03 Texas Instruments Incorporated Structure and method for semiconductor processing
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US6297468B1 (en) 1994-10-31 2001-10-02 Applied Materials, Inc. Inductively coupled plasma reactor with symmetrical parallel multiple coils having a common RF terminal
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
EP0710055A1 (en) * 1994-10-31 1996-05-01 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US6291793B1 (en) 1994-10-31 2001-09-18 Appplied Materials, Inc. Inductively coupled plasma reactor with symmetrical parallel multiple coils having a common RF terminal
US6475335B1 (en) 1995-02-15 2002-11-05 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
WO1996030928A1 (en) * 1995-03-31 1996-10-03 Applied Vision Ltd Plasma source
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US6338313B1 (en) 1995-07-19 2002-01-15 Silison Genesis Corporation System for the plasma treatment of large area substrates
US6045666A (en) * 1995-08-07 2000-04-04 Applied Materials, Inc. Aluminum hole filling method using ionized metal adhesion layer
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6217721B1 (en) 1995-08-07 2001-04-17 Applied Materials, Inc. Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US5785878A (en) * 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
US6228229B1 (en) * 1995-11-15 2001-05-08 Applied Materials, Inc. Method and apparatus for generating a plasma
US6297595B1 (en) 1995-11-15 2001-10-02 Applied Materials, Inc. Method and apparatus for generating a plasma
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
EP0779645A3 (en) * 1995-12-12 1997-09-17 Applied Materials Inc
US6027606A (en) * 1995-12-12 2000-02-22 Applied Materials, Inc. Center gas feed apparatus for a high density plasma reactor
US6193836B1 (en) 1995-12-12 2001-02-27 Applied Materials, Inc. Center gas feed apparatus for a high density plasma reactor
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP3499104B2 (en) 1996-03-01 2004-02-23 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US8398832B2 (en) 1996-05-09 2013-03-19 Applied Materials Inc. Coils for generating a plasma and for sputtering
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6783639B2 (en) 1996-05-09 2004-08-31 Applied Materials Coils for generating a plasma and for sputtering
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
EP0838843A2 (en) * 1996-10-24 1998-04-29 Applied Materials, Inc. Parallel-plate electrode plasma reactor having inductive antenna and adjustable radial distribution of plasma ion density
EP0838843A3 (en) * 1996-10-24 1999-01-13 Applied Materials, Inc. Parallel-plate electrode plasma reactor having inductive antenna and adjustable radial distribution of plasma ion density
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
US6475356B1 (en) 1996-11-21 2002-11-05 Applied Materials, Inc. Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6451179B1 (en) 1997-01-30 2002-09-17 Applied Materials, Inc. Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
WO1998037739A3 (en) * 1997-02-24 1998-10-22 Novellus Systems Inc Induction plasma source including convex dome-shaped induction coil
WO1998037739A2 (en) * 1997-02-24 1998-08-27 Novellus Systems, Inc. Induction plasma source including convex dome-shaped induction coil
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US7776717B2 (en) 1997-05-12 2010-08-17 Silicon Genesis Corporation Controlled process and resulting device
US7846818B2 (en) 1997-05-12 2010-12-07 Silicon Genesis Corporation Controlled process and resulting device
US6790747B2 (en) 1997-05-12 2004-09-14 Silicon Genesis Corporation Method and device for controlled cleaving process
US7759217B2 (en) 1997-05-12 2010-07-20 Silicon Genesis Corporation Controlled process and resulting device
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
US6373022B2 (en) 1997-06-30 2002-04-16 Applied Materials, Inc. Plasma reactor with antenna of coil conductors of concentric helices offset along the axis of symmetry
US6504126B2 (en) 1997-06-30 2003-01-07 Applied Materials, Inc. Plasma reactor with coil antenna of concentrically spiral conductors with ends in common regions
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US7381639B2 (en) 1997-11-26 2008-06-03 Applied Materials, Inc. Method of depositing a metal seed layer on semiconductor substrates
US7074714B2 (en) 1997-11-26 2006-07-11 Applied Materials, Inc. Method of depositing a metal seed layer on semiconductor substrates
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6228176B1 (en) 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6359250B1 (en) 1998-07-13 2002-03-19 Applied Komatsu Technology, Inc. RF matching network with distributed outputs
US6552297B2 (en) 1998-07-13 2003-04-22 Applied Komatsu Technology, Inc. RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
WO2000039838A3 (en) * 1998-12-30 2000-11-16 Lam Res Corp Method for igniting a plasma inside a plasma processing reactor
WO2000039838A2 (en) * 1998-12-30 2000-07-06 Lam Research Corporation Method for igniting a plasma inside a plasma processing reactor
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
WO2001037315A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Plasma processing systems and method therefor
US6544862B1 (en) 2000-01-14 2003-04-08 Silicon Genesis Corporation Particle distribution method and resulting structure for a layer transfer process
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6893533B2 (en) 2000-07-06 2005-05-17 John Holland Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
CN1662114B (en) * 2004-02-25 2011-11-16 周星工程股份有限公司 Plasma antenna
US9356181B2 (en) 2006-09-08 2016-05-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US9640711B2 (en) 2006-09-08 2017-05-02 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US11444221B2 (en) 2008-05-07 2022-09-13 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US10537273B2 (en) 2009-05-13 2020-01-21 Sio2 Medical Products, Inc. Syringe with PECVD lubricity layer
US10390744B2 (en) 2009-05-13 2019-08-27 Sio2 Medical Products, Inc. Syringe with PECVD lubricity layer, apparatus and method for transporting a vessel to and from a PECVD processing station, and double wall plastic vessel
US9545360B2 (en) 2009-05-13 2017-01-17 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9572526B2 (en) 2009-05-13 2017-02-21 Sio2 Medical Products, Inc. Apparatus and method for transporting a vessel to and from a PECVD processing station
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US11123491B2 (en) 2010-11-12 2021-09-21 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US11148856B2 (en) 2011-11-11 2021-10-19 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US11884446B2 (en) 2011-11-11 2024-01-30 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US11724860B2 (en) 2011-11-11 2023-08-15 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US10189603B2 (en) 2011-11-11 2019-01-29 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US10577154B2 (en) 2011-11-11 2020-03-03 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US9664626B2 (en) 2012-11-01 2017-05-30 Sio2 Medical Products, Inc. Coating inspection method
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US10363370B2 (en) 2012-11-30 2019-07-30 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US10201660B2 (en) 2012-11-30 2019-02-12 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition on medical syringes, cartridges, and the like
US11406765B2 (en) 2012-11-30 2022-08-09 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US10912714B2 (en) 2013-03-11 2021-02-09 Sio2 Medical Products, Inc. PECVD coated pharmaceutical packaging
US11298293B2 (en) 2013-03-11 2022-04-12 Sio2 Medical Products, Inc. PECVD coated pharmaceutical packaging
US11344473B2 (en) 2013-03-11 2022-05-31 SiO2Medical Products, Inc. Coated packaging
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
US10537494B2 (en) 2013-03-11 2020-01-21 Sio2 Medical Products, Inc. Trilayer coated blood collection tube with low oxygen transmission rate
US11684546B2 (en) 2013-03-11 2023-06-27 Sio2 Medical Products, Inc. PECVD coated pharmaceutical packaging
US10016338B2 (en) 2013-03-11 2018-07-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US11077233B2 (en) 2015-08-18 2021-08-03 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate

Also Published As

Publication number Publication date
GB9004980D0 (en) 1990-05-02
GB8905075D0 (en) 1989-04-19
GB2231197B (en) 1994-03-30

Similar Documents

Publication Publication Date Title
GB2231197A (en) Plasma apparatus electrode assembly
US7863582B2 (en) Ion-beam source
EP0261922B1 (en) Electrode assembly and apparatus
EP0462165B1 (en) Ion gun
EP0507885B1 (en) A low frequency inductive rf plasma reactor
JP3642809B2 (en) Low pressure inductively coupled plasma ignition device
EP0413282B1 (en) Method and apparatus for producing magnetically-coupled planar plasma
US6849857B2 (en) Beam processing apparatus
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US6083363A (en) Apparatus and method for uniform, low-damage anisotropic plasma processing
EP0379828B1 (en) Radio frequency induction/multipole plasma processing tool
US5430355A (en) RF induction plasma source for plasma processing
US5304279A (en) Radio frequency induction/multipole plasma processing tool
EP0300447B1 (en) Method and apparatus for treating material by using plasma
EP0271341B1 (en) Method and apparatus for ion etching
US5032205A (en) Plasma etching apparatus with surface magnetic fields
JP3408093B2 (en) Negative ion source for etching high aspect ratio structures
US5686796A (en) Ion implantation helicon plasma source with magnetic dipoles
JPS59143330A (en) Plasma etching device
EP0284867A2 (en) Dry etching apparatus using surface magnetic field confinement of plasma
US20010017109A1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
Sakudo Microwave ion source for ion implantation
JPH06267903A (en) Plasma device
US6909086B2 (en) Neutral particle beam processing apparatus
US6899527B2 (en) Closed-drift hall effect plasma vacuum pump for process reactors

Legal Events

Date Code Title Description
732E Amendments to the register in respect of changes of name or changes affecting rights (sect. 32/1977)
732E Amendments to the register in respect of changes of name or changes affecting rights (sect. 32/1977)
PE20 Patent expired after termination of 20 years

Expiry date: 20100305