US20150262902A1 - Integrated circuits protected by substrates with cavities, and methods of manufacture - Google Patents

Integrated circuits protected by substrates with cavities, and methods of manufacture Download PDF

Info

Publication number
US20150262902A1
US20150262902A1 US14/214,365 US201414214365A US2015262902A1 US 20150262902 A1 US20150262902 A1 US 20150262902A1 US 201414214365 A US201414214365 A US 201414214365A US 2015262902 A1 US2015262902 A1 US 2015262902A1
Authority
US
United States
Prior art keywords
substrate
die
cavity
manufacture
dies
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/214,365
Inventor
Hong Shen
Charles G. Woychik
Arkalgud R. Sitaram
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeia Semiconductor Technologies LLC
Original Assignee
Invensas LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US14/214,365 priority Critical patent/US20150262902A1/en
Application filed by Invensas LLC filed Critical Invensas LLC
Assigned to INVENSAS CORPORATION reassignment INVENSAS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ARKALGUD, SITARAM R., SHEN, HONG, WOYCHIK, CHARLES G.
Priority to US14/288,064 priority patent/US9355997B2/en
Priority to PCT/US2015/019609 priority patent/WO2015138393A1/en
Priority to TW104107704A priority patent/TWI573223B/en
Priority to KR1020167028245A priority patent/KR20160132093A/en
Publication of US20150262902A1 publication Critical patent/US20150262902A1/en
Priority to US15/165,837 priority patent/US9887166B2/en
Priority to US15/265,148 priority patent/US9899281B2/en
Assigned to ROYAL BANK OF CANADA, AS COLLATERAL AGENT reassignment ROYAL BANK OF CANADA, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DIGITALOPTICS CORPORATION, DigitalOptics Corporation MEMS, DTS, INC., DTS, LLC, IBIQUITY DIGITAL CORPORATION, INVENSAS CORPORATION, PHORUS, INC., TESSERA ADVANCED TECHNOLOGIES, INC., TESSERA, INC., ZIPTRONIX, INC.
Priority to US15/865,842 priority patent/US10446456B2/en
Priority to US16/599,683 priority patent/US11205600B2/en
Assigned to INVENSAS CORPORATION, TESSERA, INC., FOTONATION CORPORATION (F/K/A DIGITALOPTICS CORPORATION AND F/K/A DIGITALOPTICS CORPORATION MEMS), INVENSAS BONDING TECHNOLOGIES, INC. (F/K/A ZIPTRONIX, INC.), PHORUS, INC., DTS, INC., DTS LLC, TESSERA ADVANCED TECHNOLOGIES, INC, IBIQUITY DIGITAL CORPORATION reassignment INVENSAS CORPORATION RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: ROYAL BANK OF CANADA
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • H01L23/053Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body
    • H01L23/055Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body the leads having a passage through the base
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/315Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the encapsulation having a cavity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3675Cooling facilitated by shape of device characterised by the shape of the housing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Definitions

  • This document relates to integrated circuits, and more particularly to assemblies having dies that include semiconductor integrated circuits.
  • one or more circuits are manufactured in a semiconductor wafer and are then separated into “dies” (also called “chips”) in a process called “singulation” or “dicing”.
  • the dies such as shown at 110 in FIG. 1 , are attached to a wiring substrate (“WS”, e.g. printed wiring board) 120 which has conductive lines 130 connecting the dies to each other and to other elements of the system. More particularly, the dies have contact pads 110 C connected to the dies' circuits (not shown), and these contact pads are attached to contact pads 120 C of WS 120 .
  • Pads 120 C are interconnected by conductive lines 130 .
  • connections 140 which may include solder, conductive epoxy, or other types.
  • Encapsulant 150 (e.g. epoxy with silica or other particles) protects the dies 110 and the connections 140 from moisture and other contaminants, ultraviolet light, alpha particles, and possibly other harmful elements.
  • the encapsulant also strengthens the die-to-WS attachment against mechanical stresses, and helps conduct heat away from the dies (to an optional heat sink 160 or directly to the ambient (e.g. air)).
  • the dies are protected by an additional, protective substrate attached to a wiring substrate.
  • the dies are located in cavities in the protective substrate (the dies may protrude out of the cavities).
  • the protective substrate may be similar to cap wafers used to protect MEMS components (Micro-Electro-Mechanical Structures); see K. Zoschke et al., “Hermetic Wafer Level Packaging of MEMS Components Using Through Silicon Via and Wafer to Wafer Bonding Technologies” (2013 Electronic Components & Technology Conference, IEEE, pages 1500-1507); see also U.S. Pat. No. 6,958,285 issued Oct. 25, 2005 to Siniaguine.
  • the protective substrate puts pressure on the die (e.g.
  • each die may physically contact the cavity surface) to strengthen the die-to-WS 120 mechanical attachment, to provide good thermal conductivity between the die and the protective substrate, to help flatten the die if it is warped, and to reduce the vertical dimension.
  • the protective substrate may or may not have its own circuitry connected to the dies or to the wiring substrate.
  • the die does not contact the cavity surface, but the die is separated from the cavity surface by solid material (e.g. a bonding layer) which physically contacts the die and the cavity surface.
  • the die or the solid material physically contacts the cavity surface at some but not all operating temperatures (e.g. the physical contact may exist only at higher temperatures at which the die expands).
  • An operating temperature is a temperature at which electrically functionality can be obtained.
  • the cavity contains a stack of dies, and the top die in a stack contacts the cavity surface (or a solid material overlying the top die physically contacts the cavity surface). In some embodiments, the entire top surface of each die, or the top die in the stack if there is a stack, physically contacts the cavity surface. In some embodiments, the protective substrate puts downward pressure on the dies in each cavity to strengthen the dies' attachment to the wiring substrate and to counteract the die warpage.
  • the wiring substrate is an interposer.
  • Interposers are commonly used as intermediate substrates to accommodate a mismatch between die fabrication technology and printed wiring substrates (PWSs). More particularly, the die's contact pads 110 C can be placed much closer to each other (at a smaller pitch) than PWS pads 120 C. Therefore ( FIG. 2 ), an intermediate substrate 120 . 1 can be used between the dies 120 and the PWS (shown at 120 . 2 ).
  • Interposer 120 . 1 includes a substrate 120 . 1 S (e.g. semiconductor or other material), a redistribution layer (RDL) 210 .T on top of substrate 120 . 1 S, and another redistribution layer 210 .B on the bottom of substrate 120 . 1 S.
  • RDL redistribution layer
  • Each RDL 210 .T, 210 .B includes interconnect lines 216 insulated from each other and from substrate 120 . 1 S by the RDL's dielectric 220 .
  • Lines 216 are connected to contact pads 120 . 1 C.T on top of the interposer and contact pads 120 . 1 C.B on the bottom.
  • Lines 216 of RDL 210 .T are connected to lines 216 of RDL 210 .B by conductive (e.g. metallized) through-vias 224 .
  • Pads 120 . 1 C.T are attached to the dies' pads 110 C by connections 140 . 1 as in FIG. 1 .
  • Pads 120 . 1 C.B are attached to pads 120 . 2 C of PWS 120 . 2 with connections 140 . 2 .
  • Pads 120 . 1 C.B are at a larger pitch than pads 120 . 1 C.T, to accommodate the pitch of the PWS contacts 120 . 2 C.
  • the interposer substrate 120 . 1 S should be as thin as possible to shorten the signal paths between dies 110 and PWS 120 . 2 and thus make the system faster and less power hungry. Also, if the interposer is thin, fabrication of metallized vias 224 is facilitated. However, thin interposers are hard to handle: they are brittle, easily warped, and do not absorb or dissipate heat during fabrication. Therefore, a typical fabrication process (such as described in Zoschke et al. cited above) attaches the interposer to a temporary substrate (“support wafer”) during fabrication. The support wafer is later removed. Attaching and detaching temporary support wafers is burdensome. The process of the aforementioned U.S. Pat. No. 6,958,285 does not use the support wafer. Neither some of the novel processes described below.
  • FIGS. 1 and 2 illustrate vertical cross-sections of assemblies including integrated circuits and constructed according to prior art.
  • FIGS. 3A , 3 B, 3 C, 3 D, 3 E, 4 A, 4 B, 4 C, 5 A, 5 B, 5 C, 5 D, 5 E. 1 , 6 , 7 , 8 A, 8 B, 8 C, 9 A, 9 B, 9 C, 9 D, 10 illustrate vertical cross-sections of structures according to some embodiments as set forth in detail below.
  • FIGS. 5E.2 and 5 E. 3 are bottom views of horizontal cross sections according to some embodiments as set forth in detail below.
  • FIGS. 6 , 7 , 8 A, 8 B, 8 C, 9 A, 9 B, 9 C, 9 D, 10 , 11 , 12 illustrate vertical cross-sections of structures according to some embodiments as set forth in detail below.
  • FIG. 3A shows the beginning stages of fabrication of an interposer 120 . 1 according to some embodiments of the present invention.
  • the interposer substrate 120 . 1 S is initially chosen to be sufficiently thick to provide easy handling and adequate heat dissipation in fabrication.
  • substrate 120 . 1 S is a monocrystalline silicon wafer of a 200 mm or 300 mm diameter and a thickness of 650 micron or more. These materials and dimensions are exemplary and do not limit the invention.
  • substrate 120 . 1 S can be made of other semiconductor materials (e.g. gallium arsenide), or glass, or sapphire, or metal, or possibly other materials. Possible materials include NbTaN and LiTaN.
  • the substrate will later be thinned; for example, in case of silicon, the final thickness could be 5 to 50 microns. Again, these dimensions are not limiting.
  • Substrate 120 . 1 S is patterned to form blind vias 224 B ( FIG. 3B ).
  • “Blind” means that the vias do not go through substrate 120 . 1 S. This can be done, for example, as follows for silicon substrates.
  • optional layer 310 FIG. 3A
  • layer 310 can be silicon dioxide formed by thermal oxidation, chemical vapor deposition (CVD), or sputtering.
  • photoresist 320 is deposited and photolithographically patterned to define the vias.
  • Layer 310 and substrate 120 . 1 S are etched in areas exposed by resist 320 to form the blind vias.
  • the via depth is equal or slightly greater than the final depth of substrate 120 . 1 S, e.g. 5 to 51 microns for some silicon-substrate embodiments.
  • the vias can be formed by a dry etch, e.g. dry reactive ion etching (DRIE).
  • An exemplary diameter of each via can be 60 microns or less, but other dimensions are possible.
  • the vias can be vertical (as shown) or may have sloped sidewalls. As noted above, the particular dimensions, processes and other features are illustrative and not limiting.
  • dielectric layer 324 ( FIG. 3C ) is formed on the entire top surface of substrate 120 . 1 S.
  • Dielectric 324 lines the via surfaces.
  • dielectric 324 is formed by thermal oxidation of the silicon substrate or by CVD or physical vapor deposition (PVD).
  • Dielectric 324 will electrically insulate the substrate from subsequently formed metal in vias 224 B.
  • the dielectric thickness depends on the desired process parameters, and is 1 micron in an exemplary thermal-oxide embodiment (a thermal oxide is silicon dioxide formed by thermal oxidation). Other dimensions and materials can be used instead.
  • Dielectric 324 can be omitted if substrate 120 . 1 S is itself dielectric.
  • metal 224 M ( FIG. 3D ) is formed in vias 224 B over the dielectric 324 .
  • metal 224 M fills up the vias, but in other embodiments the metal is a liner on the via surfaces.
  • metal 224 M is electroplated copper.
  • a barrier layer (metal or dielectric, not shown separately) is formed first on dielectric 324 to aid in copper adhesion and prevent copper diffusion into the dielectric 324 or substrate 120 . 1 S. Suitable barrier layers may include a layer of titanium-tungsten (see Kosenko et al., US pre-grant patent publication 2012/0228778 published Sep.
  • a seed layer e.g. copper
  • PVD physical vapor deposition
  • copper is electroplated on the seed layer to fill the vias 224 B and cover the whole substrate 120 . 1 S.
  • the copper is then removed from the areas between the vias by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • the CMP may also remove the barrier layer (if present) from these areas, and may stop on dielectric 324 . As a result, the copper and the barrier layer remain only in and over the vias 224 B.
  • vias 224 For ease of description, we will refer to vias 224 as “metallized”, but non-metal conductive materials can also be used (e.g. doped polysilicon).
  • layer 224 M does not fill the vias but only lines the via surfaces, some other material (not shown) can be formed on layer 224 M as a filler to fill the vias and provide a planar top surface for the wafer.
  • This filler material can be polyimide deposited by spin coating for example.
  • RDL 210 .T ( FIG. 3E ) is formed on top of substrate 120 . 1 S to provide contact pads 120 . 1 C.T at desired locations.
  • RDL 210 .T can be formed by prior art techniques described above in connection with FIGS. 1 and 2 for example.
  • RDL 210 .T is omitted if the contact pads 120 . 1 C.T are provided by the top areas of metal 224 M.
  • substrate 120 . 1 S is not dielectric, then a dielectric layer can be formed on the substrate and photolithographically patterned to expose the contact pads 120 . 1 C.T.
  • Interposer 120 . 1 may include transistors, resistors, capacitors, and other devices (not shown) in substrate 120 . 1 S and redistribution layer 210 .T. These devices can be formed before, during and/or after the fabrication of vias 224 and RDL 210 .T using the process steps described above and/or additional process steps. Such fabrication techniques are well known. See e.g. the aforementioned U.S. Pat. No. 6,958,285 and pre-grant patent publication 2012/0228778.
  • Dies 110 are attached to contact pads 120 . 1 C.T with connections 140 . 1 , using possibly prior art methods described above in connection with FIGS. 1 and 2 or other methods (e.g. diffusion bonding; in this case the connections 140 . 1 are not additional elements but are part of contact pads 110 C and/or 120 . 1 C.T).
  • an encapsulant (not shown) can be formed around the dies and/or under the dies using the same techniques as described above in connection with FIG. 1 (e.g. by molding and/or underfilling).
  • the encapsulant can be any suitable material (e.g. epoxy with silica or other particles). No encapsulant is used in some embodiments. Other embodiments use an encapsulant, but the requirements for the encapsulant are relaxed because the dies will be protected by an additional, protective substrate 410 ( FIG. 5A ) as described below.
  • the encapsulant is provided only underneath the dies (as underfill), i.e. only between the dies and substrate 120 . 1 S (around the connections 140 . 1 ).
  • FIGS. 4A-4C illustrate fabrication of protective substrate 410 .
  • substrate 410 should be sufficiently rigid to facilitate subsequent handling of the assembly as explained below.
  • substrate 410 includes monocrystalline silicon substrate 410 S of a thickness 650 microns or higher. Other materials and thicknesses are possible, based on any factors that may be important (including the availability of materials and processes).
  • One possible factor is reducing the mismatch of the coefficients of thermal expansion (CTE) between substrates 410 and 120 . 1 S: if substrate 120 . 1 S is silicon, then substrate 410 S could be silicon or another material with a similar CTE.
  • Another factor is reducing the CTE mismatch between substrate 410 and dies 110 .
  • substrate 410 S will not have any circuitry, but if circuitry is desired in or on substrate 410 S then this may affect the choice of material.
  • the circuitry can be fabricated before, and/or during, and/or after the steps described below.
  • Another possible factor is high thermal conductivity to enable the substrate 410 to act as a heat sink.
  • metal may be appropriate.
  • Cavities 414 are formed in substrate 410 to match the size and position of dies 110 .
  • An exemplary process is as follows (this process is appropriate for a silicon substrate 410 S, and may be inappropriate for other materials; known processes can be used for silicon or other materials).
  • an auxiliary layer 420 FIG. 4B
  • Resist 430 is deposited and patterned photolithographically to define the cavities.
  • Auxiliary layer 420 exposed by the resist openings is etched away.
  • substrate 410 S is etched in these openings to form cavities 414 with sloped, upward-expanding sidewalls.
  • the cavity depth depends on the thickness of dies 414 and connections 140 . 1 as explained below. Non-sloped (vertical) or retrograde sidewalls, or other sidewall profiles are also possible.
  • auxiliary layer 420 is also removed, but in other embodiments layer 420 remains in the final structure.
  • substrate 410 is attached to interposer 120 . 1 so that each die 110 fits into a corresponding cavity 414 .
  • legs 410 L of protective substrate 410 are attached to the top surface of interposer 120 . 1 (e.g. to RDL 210 .T if the RDL is present; legs 410 L are those portion(s) of protective substrate 410 that surround the cavities).
  • the substrate-to-interposer attachment is shown as direct bonding, but other types of attachments (e.g. by adhesive) can also be used as described further below.
  • the entire assembly is marked with numeral 504 .
  • each die's top surface physically contact the top surfaces of cavities 414 .
  • each die's top surface is bonded to the cavity top surface (directly or in some other way, e.g. by adhesive). This bonding increases the bonding strength between the two substrates and improves the thermal conductivity of the thermal path from the dies to the protective substrate.
  • the bond between the dies and the cavity surfaces restricts the dies' lateral motion and thus counteracts lateral or other forces that could weaken the connections 140 . 1 . For example, if the protective substrate 410 and interposer 120 .
  • the dies are not bonded to the cavities' top surfaces, and thus the dies' top surfaces can slide laterally along the cavities' top surfaces in thermal movement. This may reduce the thermal stresses, e.g. if the die-interposer CTE matching is better than the matching between the interposer and protective substrate 410 .
  • the downward pressure of substrate 410 on the dies helps counteract the die warpage.
  • the dies' tendency to warp increases with temperature, and the pressure may also increase with temperature (e.g. if the dies expand vertically more than the protective substrate's legs 410 L).
  • the dies are underfilled and/or encapsulated from above by a suitable stress-relieving material, e.g. epoxy.
  • a suitable stress-relieving material e.g. epoxy
  • the encapsulant may be a solid material (possibly thermosetting) physically contacting the top surfaces of cavities 414 .
  • the encapsulant may or may not be bonded to the cavity surfaces as described above, with benefits similar to those described above for the no-encapsulant embodiments.
  • the top surfaces of the dies (or encapsulant) should have uniform height.
  • the dies (or encapsulant) can be polished before joining of substrate 410 to interposer 120 . 1 . Suitable polishing processes include lapping, grinding, and chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • the cavity surfaces and/or the dies can be provided with a suitable temperature interface material (TIM, not shown here but shown at 525 in FIGS. 5E.2 and 5 E. 3 discussed below) to improve the thermal transfer between the dies and substrate 410 .
  • TIM's thermal conductivity can usually be higher than that of air.
  • Exemplary TIMs are those that exist in semisolid, gel-like (grease-like) state throughout the range of expected operating temperatures (e.g. 0° C. to 200° C. for some assemblies) or at least when the temperatures are high to make die cooling particularly desirable (20° C. to 200° C. for some assemblies).
  • the gel-like materials fill free spaces between the dies and substrate 410 to provide a thermally conductive path away from the dies.
  • An exemplary TIM material is a thermal grease available from Arctic Silver, Inc. (having an office in California, USA); the grease's thermal conductivity is 1 W/mK.
  • the interposer is thinned from the bottom to expose the metal 224 M ( FIG. 5B ).
  • the thinning involves partial removal of substrate 120 . 1 S and dielectric 324 (if the dielectric is present).
  • the thinning may be performed by known techniques (e.g. mechanical grinding or lapping of substrate 120 . 1 S followed by dry or wet, masked or unmasked etch of substrate 120 . 1 S and dielectric 324 ; the substrate and the dielectric are etched simultaneously in some embodiments.)
  • dielectric 324 protrudes out of substrate 120 .
  • interposer 120 . 1 is kept flat by substrate 410 , so the handling of the assembly 504 is facilitated.
  • Substrate 410 also helps absorb and dissipate the heat generated during this and subsequent fabrication stages and in subsequent operation of assembly 504 .
  • the final thickness of substrate 120 . 1 S can therefore be very low, e.g. 50 microns or even 5 microns or less.
  • blind vias 224 B FIG. 3B
  • the shallow depth facilitates fabrication of the metallized vias (i.e. facilitates the via etch and subsequent deposition of dielectric and metal into the vias).
  • the shallow depth also shortens the signal paths through the vias.
  • each via can be narrower while still allowing reliable dielectric and metal deposition. The via pitch can therefore be reduced.
  • protective substrate 410 can be thinned from the top; this is not shown.
  • the combined thickness of substrates 120 . 1 S and 410 is defined by desired properties, such as rigidity, resistance to warpage, heat dissipation, and assembly size.
  • RDL 210 .B is formed on the bottom of substrate 120 . 1 S, possibly using prior art techniques (as in FIG. 2 for example).
  • the RDL provides contact pads 120 . 1 C.B and connects them to metal 224 M. (If the RDL is omitted, the contact pads are provided by metal 224 M).
  • the assembly 504 can be diced into stacks 504 S ( FIG. 5D ). Then the stacks (or the entire assembly 504 if dicing is omitted) are attached to other structures, such as wiring substrate 120 . 2 (e.g. a printed wiring substrate) in FIG. 5E.1 .
  • wiring substrate 120 . 2 e.g. a printed wiring substrate
  • a stack 504 S is attached to PWS 120 . 2 , and more particularly the stack's contacts 120 . 1 C.B are attached to PWS contacts 120 . 2 C, possibly by the same techniques as in FIG. 1 or 2 .
  • Conductive lines 130 of PWS 120 . 2 connect the contact pads 120 . 2 C to each other or other elements. These details are not limiting.
  • FIG. 5E.2 shows a possible bottom view of the horizontal cross section along the line 5 E. 2 - 5 E. 2 in FIG. 5E.1 .
  • the dies are surrounded by temperature interface material (TIM) 525 .
  • TIM temperature interface material
  • the legs 410 L form a region completely surrounding each die, and the interposer area bonded to the legs also completely surrounds each die.
  • FIG. 5E.3 shows another possible bottom view of the same horizontal cross section, also with TIM 525 .
  • the legs 410 L are provided only on two opposite sides of each die (left and right sides) but are not provided above and below the dies.
  • Each cavity 414 is a horizontal groove in substrate 410 S, possibly containing multiple dies spread laterally along the groove. The groove may run through the entire substrate. Other cavity shapes are also possible.
  • protective substrate 410 and interposer 120 . 1 can be bonded by adhesive, and FIG. 6 illustrates such bonding by adhesive 610 .
  • Adhesive 610 is provided on legs 140 L or the corresponding areas of interposer 120 . 1 or both. The structure is shown at the stage of FIG. 5A (before interposer thinning).
  • the adhesive is elastic, with a low elasticity modulus (e.g. silicone rubber with elasticity modulus of 50 MPa), to help absorb the thermal expansion of dies 110 (so that the pressure from the expanding dies 110 would not damage the protective substrate 410 or the dies).
  • the dies' CTE is equal to or greater than the CTE of protective substrate 410 or substrate 410 S.
  • the adhesive's elasticity also absorbs the height non-uniformity of the top surfaces of dies 110 or the top surfaces of cavities 414 .
  • the adhesive may have a CTE equal to or greater than the dies' CTE.
  • Exemplary adhesives are epoxy-based underfills.
  • FIG. 7 shows a similar embodiment, but the adhesive 610 covers the whole bottom surface of protective substrate 410 S.
  • the adhesive bonds the dies' (or encapsulant's) top surfaces to the top surfaces of the cavities.
  • the adhesive's CTE can be equal to, or greater than, or less than, the dies' CTE.
  • FIGS. 8A-8C illustrate the use of separate bonding layers 810 , 820 to directly bind the protective substrate 410 to interposer 120 . 1 .
  • the bonding layers are silicon dioxide, but other materials can also be used (e.g. metals for eutectic bonding).
  • the dies are attached to interposer 120 . 1 as in FIG. 3E ; the dies are then optionally underfilled and/or encapsulated from above (in FIG. 8A , encapsulant 150 encapsulates and underfills the dies).
  • Bonding layer 810 e.g. silicon dioxide or metal, is formed to cover the interposer and the dies (and the encapsulant if present), by any suitable techniques (e.g. sputtering).
  • the protective substrate 410 is provided with cavities as in FIG. 4C .
  • a bonding layer 820 e.g. silicon dioxide or metal, is formed to cover the substrate surface by any suitable techniques (e.g. sputtering, or thermal oxidation if substrate 410 S is silicon).
  • the interposer is joined to substrate 410 so that the layers 810 , 820 physically contact each other.
  • the structure is then heated to bond the layer 820 to layer 810 where the two layers meet, i.e. at legs 410 L and at the cavities' top surfaces.
  • the layer 820 is removed at the cavities' top surfaces not to bond the dies to the cavities' top surfaces.
  • FIGS. 9A-9D illustrate an exemplary process.
  • Interposer 120 . 1 is fabricated essentially as in FIG. 3E or 6 or 8 A, but without vias 224 (the vias will be formed later).
  • dielectric 324 is a flat layer on interposer substrate 120 . 1 S.
  • contact pads 910 are formed on substrate 120 . 1 S at the locations of future vias 224 .
  • RDL 210 .T is optionally fabricated on top of the interposer to connect the contact pads 910 to pads 120 . 1 C.T on top of the interposer. (Alternatively, the pads 120 .
  • 1 C.T can be provided by pads 910 .
  • Dies 110 are attached to pads 120 . 1 C.T, and optionally underfilled and encapsulated.
  • Bonding layer 810 (as shown) is optionally deposited as in FIG. 8A for bonding to the protective substrate (alternatively, the bonding can be by an adhesive as in FIG. 6 or 7 , or by a direct bonding process as described above in relation to FIG. 5A ).
  • Interposer 120 . 1 with the dies attached is then bonded to protective substrate 410 ( FIG. 9B ) as in any embodiment described above. Then the interposer is thinned ( FIG. 9C ). The dies will be protected by substrate 410 during subsequent steps. Substrate 410 can be thinned at any desired stage.
  • metallized vias 224 are formed from the interposer bottom.
  • An exemplary process is as follows:
  • Dielectric 920 e.g. silicon dioxide or silicon nitride
  • Dielectric 920 is deposited (e.g. by sputtering or CVD) to cover the bottom surface of interposer substrate 120 . 1 S.
  • Vias are etched from the bottom through dielectric 920 and substrate 120 . 1 S. This is a masked etch which stops on contact pads 910 .
  • Dielectric 930 e.g. silicon dioxide or silicon nitride
  • Dielectric 930 is deposited (e.g. by sputtering or CVD) to cover the bottom surface of interposer substrate 120 . 1 S and to line the vias.
  • Dielectric 930 covers the contact pads 910 from the bottom.
  • Dielectric 930 is etched to expose the contact pads 910 . This can be a masked etch. Alternatively, a blanket anisotropic (vertical) etch can be used to remove the dielectric 930 from over at least a portion of each contact pad 910 while leaving the dielectric on the via sidewalls. The vertical etch may or may not remove dielectric 930 outside the vias.
  • a conductive material 224 M (e.g. metal) is formed in the vias, possibly by the same techniques as described above (e.g. copper electroplating).
  • the conductive material is not present outside the vias (e.g. it can be polished away by CMP).
  • the conductive material may fill the vias or just line the via surfaces.
  • the conductive material in each via physically contacts the corresponding pad 910 .
  • FIGS. 5 C- 5 E. 3 Subsequent processing steps can be as described above in connection with FIGS. 5 C- 5 E. 3 .
  • the bottom RDL 210 .B ( FIG. 5C ) and connections 140 . 2 can be formed as described above.
  • the structure can be diced if desired ( FIG. 5D ), and attached to another structure (e.g. PWS 120 . 2 in FIG. 5E.1 ).
  • Vias 224 are optional, and further the substrate 120 . 1 can be any wiring substrate, such as shown at 120 in FIG. 10 .
  • This figure illustrates an embodiment using an adhesive 610 to bond the protective substrate 410 to WS 120 at legs 410 L and at the cavity top surfaces, but any other bonding method described above can be used. No underfill or other encapsulant is shown, but underfill with or without encapsulation of the entire die can be present.
  • the techniques described above in connection with FIGS. 5A-10 can be used to attach any number of separate protective substrates 410 to the same interposer 120 . 1 or WS 120 ; different protective substrates 410 can be attached to the same side of a substrate 120 . 1 or 120 , with different dies in different cavities of the same or different protective substrates 410 .
  • Other protective substrates 410 can be attached to the opposite side of substrate 120 . 1 or 120 . Some of the dies may have no protective substrate 410 to protect them.
  • Each substrate 120 . 1 S or 410 S can be a wafer, and the two substrates can be of the same size in a given assembly 504 ; but different sizes are also possible in the same assembly.
  • the dies can also be stacked one above another in the same cavity (see FIG. 11 showing the structure at the same fabrication stage as FIG. 6 ), with only the top die of each stack physically contacting the corresponding cavity's top surface.
  • the dies in each stack may have their respective circuits interconnected through their contact pads 1110 C and respective connections 140 (which can be of any type described above).
  • substrates 120 . 1 S, 410 S are bonded together by adhesive 610 on legs 410 L as in FIG. 6 , but the other bonding methods described above can also be used.
  • Stacked dies can also be used with other variations described above, e.g. when the protective substrate is bonded directly to the PWS.
  • substrate 410 S has circuitry, possibly connected to the circuitry in the dies and/or the interposer 120 . 1 S or the PWS. See FIG. 12 , showing the top dies connected to substrate 410 S by structures 1210 ; each structure 1210 includes a contact pad in substrate 410 S, a corresponding contact pad on a top die 110 , and a connection (e.g. solder or any other type described above) bonding the two contact pads to each other.
  • encapsulant 150 underfills and completely surrounds each die, contacting the cavities' top surfaces. As noted above, encapsulation and/or underfilling are optional.
  • the vias 224 can be formed after the RDLs, and can be etched through one or both of the RDLs.
  • a first substrate e.g. 120 . 1 or 120
  • first contact pads e.g. the top pads 120 . 1 C.T
  • each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
  • a second substrate (e.g. 410 or 410 S) comprising one or more cavities, the second substrate being attached to the first substrate, wherein at least part of each die is located in a corresponding cavity in the second substrate, the second substrate comprising a surface area (e.g. a surface of legs 410 L) which lies outside of the cavities and is attached to the first substrate;
  • At least one die satisfies one or both of conditions (A) and (B):
  • the die is separated from the surface of the corresponding cavity by solid material (e.g. an encapsulant or a bonding layer) which physically contacts the die and the surface of the corresponding cavity.
  • solid material e.g. an encapsulant or a bonding layer
  • each cavity in a side view in which each cavity is in a bottom surface of the second substrate (e.g. as in FIG. 5C or 5 E. 1 ), said surface area of the second substrate laterally surrounds each cavity (e.g. as in FIG. 5E.2 ).
  • the at least one die is attached to the surface of the corresponding cavity.
  • the at least one die is not attached to the surface of the corresponding cavity.
  • the one or more first contact pads are located at a first side of the first substrate
  • the first substrate comprises one or more second contact pads at a second side opposite to the first side (e.g. contact pads 120 . 1 C.B at the interposer bottom); and
  • the first substrate comprises one or more electrically conductive paths passing through the first substrate (e.g. metallized vias 224 ) and electrically connecting at least one first contact pad to at least one second contact pad.
  • At least one of the conditions (A) and (B) is satisfied at room temperature.
  • the at least one die is under pressure from the second substrate.
  • the pressure does not exceed 200 MPa at room temperature. In some embodiments, the pressure is greater than the atmospheric pressure (1 bar, i.e. 10 5 Pa), and can be in the range from 1 bar to 200 MPa or any sub-range of this range. The pressure can also be above or below this range.
  • Some embodiments provide a method for fabricating an electrically functioning manufacture, the method comprising:
  • a first substrate (e.g. 120 . 1 ) comprising a first side and one or more first contact pads at the first side;
  • each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
  • obtaining a second substrate (e.g. 410 ) comprising one or more cavities;
  • the second substrate attaching the second substrate to the first substrate, with at least part of each die being located in a corresponding cavity in the second substrate, the second substrate comprising a surface area (e.g. bottom areal of legs 410 L) which lies outside of the cavities and is attached to the first substrate;
  • a surface area e.g. bottom areal of legs 410 L
  • At least one die satisfies one or both of conditions (A) and (B):
  • the die is separated from the surface of the corresponding cavity by solid material which physically contacts the die and the surface of the corresponding cavity.
  • each cavity in a side view in which each cavity is in a bottom surface of the second substrate, said surface area of the second substrate laterally surrounds each cavity.
  • the at least one die is attached to the surface of the corresponding cavity.
  • the at least one die is not attached to the surface of the corresponding cavity.
  • the one or more first contact pads are located at a first side of the first substrate
  • the first substrate comprises one or more second contact pads at a second side opposite to the first side;
  • the first substrate comprises one or more electrically conductive paths passing through the first substrate and electrically connecting at least one first contact pad to at least one second contact pad.
  • At least one of the conditions (A) and (B) is satisfied at room temperature.
  • the at least one die is under pressure from the second substrate when the first substrate is attached to the second substrate.
  • the pressure does not exceed 200 MPa at room temperature.
  • the one or more dies are a plurality of dies
  • the method further comprises polishing a solid surface at a first side of the dies before attaching the first substrate to the second substrate, the first side of the dies being a side opposite to each die's one or more contact pads, the solid surface being a surface of the dies or of an encapsulant formed on the dies.
  • the solid surface is a surface of the encapsulant which comprises an epoxy.
  • a first substrate comprising one or more first contact pads
  • each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
  • a second substrate comprising one or more cavities, the second substrate being attached to the first substrate, wherein at least part of each die is located in a corresponding cavity in the second substrate, the second substrate comprising a surface area which lies outside of the cavities and is attached to the first substrate;
  • At least one die is under pressure from the second substrate.
  • the pressure does not exceed 200 MPa at room temperature.
  • each cavity in a side view in which each cavity is in a bottom surface of the second substrate, said surface area of the second substrate laterally surrounds each cavity.
  • the at least one die is attached to the surface of the corresponding cavity.
  • the at least one die is not attached to the surface of the corresponding cavity.
  • the one or more first contact pads are located at a first side of the first substrate
  • the first substrate comprises one or more second contact pads at a second side opposite to the first side;
  • the first substrate comprises one or more electrically conductive paths passing through the first substrate and electrically connecting at least one first contact pad to at least one second contact pad.

Abstract

Dies (110) with integrated circuits are attached to a wiring substrate (120), possibly an interposer, and are protected by a protective substrate (410) attached to a wiring substrate. The dies are located in cavities in the protective substrate (the dies may protrude out of the cavities). In some embodiments, each cavity surface puts pressure on the die to strengthen the mechanical attachment of the die the wiring substrate, to provide good thermal conductivity between the dies and the ambient (or a heat sink), to counteract the die warpage, and possibly reduce the vertical size. The protective substrate may or may not have its own circuitry connected to the dies or to the wiring substrate. Other features are also provided.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application claims priority of U.S. provisional application No. 61/952,066 filed on Mar. 12, 2014, titled “INTEGRATED CIRCUITS PROTECTED BY SUBSTRATES WITH CAVITIES, AND METHODS OF MANUFACTURE”, incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • This document relates to integrated circuits, and more particularly to assemblies having dies that include semiconductor integrated circuits.
  • In fabrication of integrated circuits, one or more circuits are manufactured in a semiconductor wafer and are then separated into “dies” (also called “chips”) in a process called “singulation” or “dicing”. The dies, such as shown at 110 in FIG. 1, are attached to a wiring substrate (“WS”, e.g. printed wiring board) 120 which has conductive lines 130 connecting the dies to each other and to other elements of the system. More particularly, the dies have contact pads 110C connected to the dies' circuits (not shown), and these contact pads are attached to contact pads 120C of WS 120. Pads 120C are interconnected by conductive lines 130. The attachment of pads 110C to pads 120C is performed by connections 140 which may include solder, conductive epoxy, or other types.
  • Encapsulant 150 (e.g. epoxy with silica or other particles) protects the dies 110 and the connections 140 from moisture and other contaminants, ultraviolet light, alpha particles, and possibly other harmful elements. The encapsulant also strengthens the die-to-WS attachment against mechanical stresses, and helps conduct heat away from the dies (to an optional heat sink 160 or directly to the ambient (e.g. air)).
  • It is desirable to provide improved protection of dies from mechanical stresses, heat, and harmful elements.
  • SUMMARY
  • This section summarizes some of the exemplary implementations of the invention.
  • In some embodiments, the dies are protected by an additional, protective substrate attached to a wiring substrate. The dies are located in cavities in the protective substrate (the dies may protrude out of the cavities). The protective substrate may be similar to cap wafers used to protect MEMS components (Micro-Electro-Mechanical Structures); see K. Zoschke et al., “Hermetic Wafer Level Packaging of MEMS Components Using Through Silicon Via and Wafer to Wafer Bonding Technologies” (2013 Electronic Components & Technology Conference, IEEE, pages 1500-1507); see also U.S. Pat. No. 6,958,285 issued Oct. 25, 2005 to Siniaguine. However, in some embodiments, the protective substrate puts pressure on the die (e.g. each die may physically contact the cavity surface) to strengthen the die-to-WS 120 mechanical attachment, to provide good thermal conductivity between the die and the protective substrate, to help flatten the die if it is warped, and to reduce the vertical dimension. The protective substrate may or may not have its own circuitry connected to the dies or to the wiring substrate.
  • In some embodiments, the die does not contact the cavity surface, but the die is separated from the cavity surface by solid material (e.g. a bonding layer) which physically contacts the die and the cavity surface. In some embodiments, the die or the solid material physically contacts the cavity surface at some but not all operating temperatures (e.g. the physical contact may exist only at higher temperatures at which the die expands). An operating temperature is a temperature at which electrically functionality can be obtained.
  • In some embodiments, the cavity contains a stack of dies, and the top die in a stack contacts the cavity surface (or a solid material overlying the top die physically contacts the cavity surface). In some embodiments, the entire top surface of each die, or the top die in the stack if there is a stack, physically contacts the cavity surface. In some embodiments, the protective substrate puts downward pressure on the dies in each cavity to strengthen the dies' attachment to the wiring substrate and to counteract the die warpage.
  • In some embodiments, the wiring substrate is an interposer. Interposers are commonly used as intermediate substrates to accommodate a mismatch between die fabrication technology and printed wiring substrates (PWSs). More particularly, the die's contact pads 110C can be placed much closer to each other (at a smaller pitch) than PWS pads 120C. Therefore (FIG. 2), an intermediate substrate 120.1 can be used between the dies 120 and the PWS (shown at 120.2). Interposer 120.1 includes a substrate 120.1S (e.g. semiconductor or other material), a redistribution layer (RDL) 210.T on top of substrate 120.1S, and another redistribution layer 210.B on the bottom of substrate 120.1S. Each RDL 210.T, 210.B includes interconnect lines 216 insulated from each other and from substrate 120.1S by the RDL's dielectric 220. Lines 216 are connected to contact pads 120.1C.T on top of the interposer and contact pads 120.1C.B on the bottom. Lines 216 of RDL 210.T are connected to lines 216 of RDL 210.B by conductive (e.g. metallized) through-vias 224. Pads 120.1C.T are attached to the dies' pads 110C by connections 140.1 as in FIG. 1. Pads 120.1C.B are attached to pads 120.2C of PWS 120.2 with connections 140.2. Pads 120.1C.B are at a larger pitch than pads 120.1C.T, to accommodate the pitch of the PWS contacts 120.2C.
  • The interposer substrate 120.1S should be as thin as possible to shorten the signal paths between dies 110 and PWS 120.2 and thus make the system faster and less power hungry. Also, if the interposer is thin, fabrication of metallized vias 224 is facilitated. However, thin interposers are hard to handle: they are brittle, easily warped, and do not absorb or dissipate heat during fabrication. Therefore, a typical fabrication process (such as described in Zoschke et al. cited above) attaches the interposer to a temporary substrate (“support wafer”) during fabrication. The support wafer is later removed. Attaching and detaching temporary support wafers is burdensome. The process of the aforementioned U.S. Pat. No. 6,958,285 does not use the support wafer. Neither some of the novel processes described below.
  • The invention is not limited to the features and advantages described above, and includes other features described below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 and 2 illustrate vertical cross-sections of assemblies including integrated circuits and constructed according to prior art.
  • FIGS. 3A, 3B, 3C, 3D, 3E, 4A, 4B, 4C, 5A, 5B, 5C, 5D, 5E.1, 6, 7, 8A, 8B, 8C, 9A, 9B, 9C, 9D, 10 illustrate vertical cross-sections of structures according to some embodiments as set forth in detail below.
  • FIGS. 5E.2 and 5E.3 are bottom views of horizontal cross sections according to some embodiments as set forth in detail below.
  • FIGS. 6, 7, 8A, 8B, 8C, 9A, 9B, 9C, 9D, 10, 11, 12 illustrate vertical cross-sections of structures according to some embodiments as set forth in detail below.
  • DESCRIPTION OF SOME EMBODIMENTS
  • The embodiments described in this section illustrate but do not limit the invention. In particular, the invention is not limited to particular materials, processes, dimensions, or other particulars except as defined by the appended claims.
  • FIG. 3A shows the beginning stages of fabrication of an interposer 120.1 according to some embodiments of the present invention. The interposer substrate 120.1S is initially chosen to be sufficiently thick to provide easy handling and adequate heat dissipation in fabrication. In some embodiments, substrate 120.1S is a monocrystalline silicon wafer of a 200 mm or 300 mm diameter and a thickness of 650 micron or more. These materials and dimensions are exemplary and do not limit the invention. For example, substrate 120.1S can be made of other semiconductor materials (e.g. gallium arsenide), or glass, or sapphire, or metal, or possibly other materials. Possible materials include NbTaN and LiTaN. The substrate will later be thinned; for example, in case of silicon, the final thickness could be 5 to 50 microns. Again, these dimensions are not limiting.
  • Substrate 120.1S is patterned to form blind vias 224B (FIG. 3B). “Blind” means that the vias do not go through substrate 120.1S. This can be done, for example, as follows for silicon substrates. First, optional layer 310 (FIG. 3A) is formed on substrate 120.1S to protect the substrate and/or improve the adhesion of subsequently formed photoresist 320. For example, layer 310 can be silicon dioxide formed by thermal oxidation, chemical vapor deposition (CVD), or sputtering. Then photoresist 320 is deposited and photolithographically patterned to define the vias. Layer 310 and substrate 120.1S are etched in areas exposed by resist 320 to form the blind vias. The via depth is equal or slightly greater than the final depth of substrate 120.1S, e.g. 5 to 51 microns for some silicon-substrate embodiments. The vias can be formed by a dry etch, e.g. dry reactive ion etching (DRIE). An exemplary diameter of each via can be 60 microns or less, but other dimensions are possible. The vias can be vertical (as shown) or may have sloped sidewalls. As noted above, the particular dimensions, processes and other features are illustrative and not limiting.
  • The vias are then metallized. If substrate 120.1S is silicon, this can be done as follows. Photoresist 320 and protective layer 310 are removed, and a dielectric layer 324 (FIG. 3C) is formed on the entire top surface of substrate 120.1S. Dielectric 324 lines the via surfaces. In some embodiments, dielectric 324 is formed by thermal oxidation of the silicon substrate or by CVD or physical vapor deposition (PVD). Dielectric 324 will electrically insulate the substrate from subsequently formed metal in vias 224B. The dielectric thickness depends on the desired process parameters, and is 1 micron in an exemplary thermal-oxide embodiment (a thermal oxide is silicon dioxide formed by thermal oxidation). Other dimensions and materials can be used instead. Dielectric 324 can be omitted if substrate 120.1S is itself dielectric.
  • Then metal 224M (FIG. 3D) is formed in vias 224B over the dielectric 324. In the embodiment shown, metal 224M fills up the vias, but in other embodiments the metal is a liner on the via surfaces. In an exemplary embodiment, metal 224M is electroplated copper. For example, a barrier layer (metal or dielectric, not shown separately) is formed first on dielectric 324 to aid in copper adhesion and prevent copper diffusion into the dielectric 324 or substrate 120.1S. Suitable barrier layers may include a layer of titanium-tungsten (see Kosenko et al., US pre-grant patent publication 2012/0228778 published Sep. 13, 2012, incorporated herein by reference), and/or nickel containing layers (Uzoh et al., US 2013/0014978 published Jan. 17, 2013, incorporated herein by reference). Then a seed layer, e.g. copper, is formed on the barrier layer by physical vapor deposition (e.g. PVD, possibly sputtering). Then copper is electroplated on the seed layer to fill the vias 224B and cover the whole substrate 120.1S. The copper is then removed from the areas between the vias by chemical mechanical polishing (CMP). Optionally, the CMP may also remove the barrier layer (if present) from these areas, and may stop on dielectric 324. As a result, the copper and the barrier layer remain only in and over the vias 224B.
  • For ease of description, we will refer to vias 224 as “metallized”, but non-metal conductive materials can also be used (e.g. doped polysilicon).
  • If layer 224M does not fill the vias but only lines the via surfaces, some other material (not shown) can be formed on layer 224M as a filler to fill the vias and provide a planar top surface for the wafer. This filler material can be polyimide deposited by spin coating for example.
  • Optionally, RDL 210.T (FIG. 3E) is formed on top of substrate 120.1S to provide contact pads 120.1C.T at desired locations. RDL 210.T can be formed by prior art techniques described above in connection with FIGS. 1 and 2 for example. RDL 210.T is omitted if the contact pads 120.1C.T are provided by the top areas of metal 224M. In such a case, if substrate 120.1S is not dielectric, then a dielectric layer can be formed on the substrate and photolithographically patterned to expose the contact pads 120.1C.T.
  • Interposer 120.1 may include transistors, resistors, capacitors, and other devices (not shown) in substrate 120.1S and redistribution layer 210.T. These devices can be formed before, during and/or after the fabrication of vias 224 and RDL 210.T using the process steps described above and/or additional process steps. Such fabrication techniques are well known. See e.g. the aforementioned U.S. Pat. No. 6,958,285 and pre-grant patent publication 2012/0228778.
  • Dies 110 are attached to contact pads 120.1C.T with connections 140.1, using possibly prior art methods described above in connection with FIGS. 1 and 2 or other methods (e.g. diffusion bonding; in this case the connections 140.1 are not additional elements but are part of contact pads 110C and/or 120.1C.T).
  • Optionally, an encapsulant (not shown) can be formed around the dies and/or under the dies using the same techniques as described above in connection with FIG. 1 (e.g. by molding and/or underfilling). The encapsulant can be any suitable material (e.g. epoxy with silica or other particles). No encapsulant is used in some embodiments. Other embodiments use an encapsulant, but the requirements for the encapsulant are relaxed because the dies will be protected by an additional, protective substrate 410 (FIG. 5A) as described below. In some embodiments, the encapsulant is provided only underneath the dies (as underfill), i.e. only between the dies and substrate 120.1S (around the connections 140.1).
  • FIGS. 4A-4C illustrate fabrication of protective substrate 410. Many variations are possible. Substrate 410 should be sufficiently rigid to facilitate subsequent handling of the assembly as explained below. In the embodiment shown, substrate 410 includes monocrystalline silicon substrate 410S of a thickness 650 microns or higher. Other materials and thicknesses are possible, based on any factors that may be important (including the availability of materials and processes). One possible factor is reducing the mismatch of the coefficients of thermal expansion (CTE) between substrates 410 and 120.1S: if substrate 120.1S is silicon, then substrate 410S could be silicon or another material with a similar CTE. Another factor is reducing the CTE mismatch between substrate 410 and dies 110. In some embodiments, substrate 410S will not have any circuitry, but if circuitry is desired in or on substrate 410S then this may affect the choice of material. The circuitry can be fabricated before, and/or during, and/or after the steps described below.
  • Another possible factor is high thermal conductivity to enable the substrate 410 to act as a heat sink. For example, metal may be appropriate.
  • Cavities 414 (FIG. 4C) are formed in substrate 410 to match the size and position of dies 110. An exemplary process is as follows (this process is appropriate for a silicon substrate 410S, and may be inappropriate for other materials; known processes can be used for silicon or other materials). First, an auxiliary layer 420 (FIG. 4B) is formed to cover the substrate 410S for protection or for improved adhesion of subsequently formed photoresist 430. Resist 430 is deposited and patterned photolithographically to define the cavities. Auxiliary layer 420 exposed by the resist openings is etched away. Then substrate 410S is etched in these openings to form cavities 414 with sloped, upward-expanding sidewalls. The cavity depth depends on the thickness of dies 414 and connections 140.1 as explained below. Non-sloped (vertical) or retrograde sidewalls, or other sidewall profiles are also possible.
  • Then photoresist 430 is removed. In the example shown, auxiliary layer 420 is also removed, but in other embodiments layer 420 remains in the final structure.
  • As shown in FIG. 5A, substrate 410 is attached to interposer 120.1 so that each die 110 fits into a corresponding cavity 414. More particularly, legs 410L of protective substrate 410 are attached to the top surface of interposer 120.1 (e.g. to RDL 210.T if the RDL is present; legs 410L are those portion(s) of protective substrate 410 that surround the cavities). The substrate-to-interposer attachment is shown as direct bonding, but other types of attachments (e.g. by adhesive) can also be used as described further below. The entire assembly is marked with numeral 504.
  • In FIG. 5A, the dies' top surfaces physically contact the top surfaces of cavities 414. In some embodiments, each die's top surface is bonded to the cavity top surface (directly or in some other way, e.g. by adhesive). This bonding increases the bonding strength between the two substrates and improves the thermal conductivity of the thermal path from the dies to the protective substrate. In addition, the bond between the dies and the cavity surfaces restricts the dies' lateral motion and thus counteracts lateral or other forces that could weaken the connections 140.1. For example, if the protective substrate 410 and interposer 120.1 have matching CTEs, then the bonding of the dies' top surfaces to the cavity surfaces will cause the protective substrate 410 to urge the dies to follow the interposer movement in thermal cycling; this is believed to relieve the stress on the die-to-interposer connections 140.1.
  • In other embodiments, the dies are not bonded to the cavities' top surfaces, and thus the dies' top surfaces can slide laterally along the cavities' top surfaces in thermal movement. This may reduce the thermal stresses, e.g. if the die-interposer CTE matching is better than the matching between the interposer and protective substrate 410.
  • In some embodiments, regardless of whether the dies are bonded to the cavity surfaces, the downward pressure of substrate 410 on the dies helps counteract the die warpage. In some embodiments, the dies' tendency to warp increases with temperature, and the pressure may also increase with temperature (e.g. if the dies expand vertically more than the protective substrate's legs 410L).
  • As noted above, in some embodiments the dies are underfilled and/or encapsulated from above by a suitable stress-relieving material, e.g. epoxy. In case of encapsulation from above, the encapsulant may be a solid material (possibly thermosetting) physically contacting the top surfaces of cavities 414. The encapsulant may or may not be bonded to the cavity surfaces as described above, with benefits similar to those described above for the no-encapsulant embodiments.
  • To ensure physical contact between the dies (or the encapsulant) and the cavities, the top surfaces of the dies (or encapsulant) should have uniform height. To improve the height uniformity, the dies (or encapsulant) can be polished before joining of substrate 410 to interposer 120.1. Suitable polishing processes include lapping, grinding, and chemical mechanical polishing (CMP). Also, before inserting the dies into cavities, the cavity surfaces and/or the dies can be provided with a suitable temperature interface material (TIM, not shown here but shown at 525 in FIGS. 5E.2 and 5E.3 discussed below) to improve the thermal transfer between the dies and substrate 410. TIM's thermal conductivity can usually be higher than that of air. Exemplary TIMs are those that exist in semisolid, gel-like (grease-like) state throughout the range of expected operating temperatures (e.g. 0° C. to 200° C. for some assemblies) or at least when the temperatures are high to make die cooling particularly desirable (20° C. to 200° C. for some assemblies). The gel-like materials fill free spaces between the dies and substrate 410 to provide a thermally conductive path away from the dies. An exemplary TIM material is a thermal grease available from Arctic Silver, Inc. (having an office in California, USA); the grease's thermal conductivity is 1 W/mK.
  • After the bonding of substrate 410 to interposer 120.1, the interposer is thinned from the bottom to expose the metal 224M (FIG. 5B). The thinning involves partial removal of substrate 120.1S and dielectric 324 (if the dielectric is present). The thinning may be performed by known techniques (e.g. mechanical grinding or lapping of substrate 120.1S followed by dry or wet, masked or unmasked etch of substrate 120.1S and dielectric 324; the substrate and the dielectric are etched simultaneously in some embodiments.) In some embodiments, dielectric 324 protrudes out of substrate 120.1S around metal 224M at the end of the thinning operation, and metal 224M protrudes out of the dielectric. See for example the aforementioned U.S. Pat. No. 6,958,285. As noted above, the invention is not limited to particular processes.
  • Advantageously, interposer 120.1 is kept flat by substrate 410, so the handling of the assembly 504 is facilitated. Substrate 410 also helps absorb and dissipate the heat generated during this and subsequent fabrication stages and in subsequent operation of assembly 504. The final thickness of substrate 120.1S can therefore be very low, e.g. 50 microns or even 5 microns or less. Hence, blind vias 224B (FIG. 3B) can be shallow. The shallow depth facilitates fabrication of the metallized vias (i.e. facilitates the via etch and subsequent deposition of dielectric and metal into the vias). The shallow depth also shortens the signal paths through the vias. Moreover, if the vias are shallow, each via can be narrower while still allowing reliable dielectric and metal deposition. The via pitch can therefore be reduced.
  • If desired, protective substrate 410 can be thinned from the top; this is not shown. The combined thickness of substrates 120.1S and 410 is defined by desired properties, such as rigidity, resistance to warpage, heat dissipation, and assembly size.
  • Subsequent process steps depend on the particular application. In some embodiments (FIG. 5C), RDL 210.B is formed on the bottom of substrate 120.1S, possibly using prior art techniques (as in FIG. 2 for example). The RDL provides contact pads 120.1C.B and connects them to metal 224M. (If the RDL is omitted, the contact pads are provided by metal 224M). If desired, the assembly 504 can be diced into stacks 504S (FIG. 5D). Then the stacks (or the entire assembly 504 if dicing is omitted) are attached to other structures, such as wiring substrate 120.2 (e.g. a printed wiring substrate) in FIG. 5E.1. In the example of FIG. 5E.1, a stack 504S is attached to PWS 120.2, and more particularly the stack's contacts 120.1C.B are attached to PWS contacts 120.2C, possibly by the same techniques as in FIG. 1 or 2. Conductive lines 130 of PWS 120.2 connect the contact pads 120.2C to each other or other elements. These details are not limiting.
  • FIG. 5E.2 shows a possible bottom view of the horizontal cross section along the line 5E.2-5E.2 in FIG. 5E.1. In the example of FIG. 5E.2, the dies are surrounded by temperature interface material (TIM) 525. The legs 410L form a region completely surrounding each die, and the interposer area bonded to the legs also completely surrounds each die.
  • FIG. 5E.3 shows another possible bottom view of the same horizontal cross section, also with TIM 525. In this example, the legs 410L are provided only on two opposite sides of each die (left and right sides) but are not provided above and below the dies. Each cavity 414 is a horizontal groove in substrate 410S, possibly containing multiple dies spread laterally along the groove. The groove may run through the entire substrate. Other cavity shapes are also possible.
  • As noted above, protective substrate 410 and interposer 120.1 can be bonded by adhesive, and FIG. 6 illustrates such bonding by adhesive 610. Adhesive 610 is provided on legs 140L or the corresponding areas of interposer 120.1 or both. The structure is shown at the stage of FIG. 5A (before interposer thinning). In some embodiments, the adhesive is elastic, with a low elasticity modulus (e.g. silicone rubber with elasticity modulus of 50 MPa), to help absorb the thermal expansion of dies 110 (so that the pressure from the expanding dies 110 would not damage the protective substrate 410 or the dies). In some embodiments, this is beneficial if the dies' CTE is equal to or greater than the CTE of protective substrate 410 or substrate 410S. The adhesive's elasticity also absorbs the height non-uniformity of the top surfaces of dies 110 or the top surfaces of cavities 414. Also, to absorb the dies expansion, the adhesive may have a CTE equal to or greater than the dies' CTE. Exemplary adhesives are epoxy-based underfills.
  • FIG. 7 shows a similar embodiment, but the adhesive 610 covers the whole bottom surface of protective substrate 410S. The adhesive bonds the dies' (or encapsulant's) top surfaces to the top surfaces of the cavities. The adhesive's CTE can be equal to, or greater than, or less than, the dies' CTE.
  • FIGS. 8A-8C illustrate the use of separate bonding layers 810, 820 to directly bind the protective substrate 410 to interposer 120.1. In some embodiments, the bonding layers are silicon dioxide, but other materials can also be used (e.g. metals for eutectic bonding). Referring to FIG. 8A, the dies are attached to interposer 120.1 as in FIG. 3E; the dies are then optionally underfilled and/or encapsulated from above (in FIG. 8A, encapsulant 150 encapsulates and underfills the dies). Bonding layer 810, e.g. silicon dioxide or metal, is formed to cover the interposer and the dies (and the encapsulant if present), by any suitable techniques (e.g. sputtering).
  • Referring to FIG. 8B, the protective substrate 410 is provided with cavities as in FIG. 4C. Then a bonding layer 820, e.g. silicon dioxide or metal, is formed to cover the substrate surface by any suitable techniques (e.g. sputtering, or thermal oxidation if substrate 410S is silicon).
  • Referring to FIG. 8C, the interposer is joined to substrate 410 so that the layers 810, 820 physically contact each other. The structure is then heated to bond the layer 820 to layer 810 where the two layers meet, i.e. at legs 410L and at the cavities' top surfaces. In some embodiments however, before the bonding, the layer 820 is removed at the cavities' top surfaces not to bond the dies to the cavities' top surfaces.
  • Subsequent processing of the structures of FIGS. 6-8A (interposer thinning, possible dicing, etc.) can be as described above for other embodiments.
  • The process step sequences described above are not limiting; for example, the vias 224 can be formed after the interposer thinning. FIGS. 9A-9D illustrate an exemplary process. Interposer 120.1 is fabricated essentially as in FIG. 3E or 6 or 8A, but without vias 224 (the vias will be formed later). In particular, dielectric 324 is a flat layer on interposer substrate 120.1S. Then contact pads 910 are formed on substrate 120.1S at the locations of future vias 224. RDL 210.T is optionally fabricated on top of the interposer to connect the contact pads 910 to pads 120.1C.T on top of the interposer. (Alternatively, the pads 120.1C.T can be provided by pads 910.) Dies 110 are attached to pads 120.1C.T, and optionally underfilled and encapsulated. Bonding layer 810 (as shown) is optionally deposited as in FIG. 8A for bonding to the protective substrate (alternatively, the bonding can be by an adhesive as in FIG. 6 or 7, or by a direct bonding process as described above in relation to FIG. 5A).
  • Interposer 120.1 with the dies attached is then bonded to protective substrate 410 (FIG. 9B) as in any embodiment described above. Then the interposer is thinned (FIG. 9C). The dies will be protected by substrate 410 during subsequent steps. Substrate 410 can be thinned at any desired stage.
  • Then metallized vias 224 are formed from the interposer bottom. An exemplary process is as follows:
  • 1. Dielectric 920 (e.g. silicon dioxide or silicon nitride) is deposited (e.g. by sputtering or CVD) to cover the bottom surface of interposer substrate 120.1S.
  • 2. Vias (through-holes) are etched from the bottom through dielectric 920 and substrate 120.1S. This is a masked etch which stops on contact pads 910.
  • 3. Dielectric 930 (e.g. silicon dioxide or silicon nitride) is deposited (e.g. by sputtering or CVD) to cover the bottom surface of interposer substrate 120.1S and to line the vias. Dielectric 930 covers the contact pads 910 from the bottom.
  • 4. Dielectric 930 is etched to expose the contact pads 910. This can be a masked etch. Alternatively, a blanket anisotropic (vertical) etch can be used to remove the dielectric 930 from over at least a portion of each contact pad 910 while leaving the dielectric on the via sidewalls. The vertical etch may or may not remove dielectric 930 outside the vias.
  • 5. A conductive material 224M (e.g. metal) is formed in the vias, possibly by the same techniques as described above (e.g. copper electroplating). The conductive material is not present outside the vias (e.g. it can be polished away by CMP). The conductive material may fill the vias or just line the via surfaces. The conductive material in each via physically contacts the corresponding pad 910.
  • Subsequent processing steps can be as described above in connection with FIGS. 5C-5E.3. In particular, the bottom RDL 210.B (FIG. 5C) and connections 140.2 can be formed as described above. The structure can be diced if desired (FIG. 5D), and attached to another structure (e.g. PWS 120.2 in FIG. 5E.1).
  • Vias 224 are optional, and further the substrate 120.1 can be any wiring substrate, such as shown at 120 in FIG. 10. This figure illustrates an embodiment using an adhesive 610 to bond the protective substrate 410 to WS 120 at legs 410L and at the cavity top surfaces, but any other bonding method described above can be used. No underfill or other encapsulant is shown, but underfill with or without encapsulation of the entire die can be present.
  • The techniques described above in connection with FIGS. 5A-10 can be used to attach any number of separate protective substrates 410 to the same interposer 120.1 or WS 120; different protective substrates 410 can be attached to the same side of a substrate 120.1 or 120, with different dies in different cavities of the same or different protective substrates 410. Other protective substrates 410 can be attached to the opposite side of substrate 120.1 or 120. Some of the dies may have no protective substrate 410 to protect them. Each substrate 120.1S or 410S can be a wafer, and the two substrates can be of the same size in a given assembly 504; but different sizes are also possible in the same assembly.
  • The dies can also be stacked one above another in the same cavity (see FIG. 11 showing the structure at the same fabrication stage as FIG. 6), with only the top die of each stack physically contacting the corresponding cavity's top surface. The dies in each stack may have their respective circuits interconnected through their contact pads 1110C and respective connections 140 (which can be of any type described above). In FIG. 11, substrates 120.1S, 410S are bonded together by adhesive 610 on legs 410L as in FIG. 6, but the other bonding methods described above can also be used. Stacked dies can also be used with other variations described above, e.g. when the protective substrate is bonded directly to the PWS.
  • In some embodiments, substrate 410S has circuitry, possibly connected to the circuitry in the dies and/or the interposer 120.1S or the PWS. See FIG. 12, showing the top dies connected to substrate 410S by structures 1210; each structure 1210 includes a contact pad in substrate 410S, a corresponding contact pad on a top die 110, and a connection (e.g. solder or any other type described above) bonding the two contact pads to each other. In the example of FIG. 12, encapsulant 150 underfills and completely surrounds each die, contacting the cavities' top surfaces. As noted above, encapsulation and/or underfilling are optional.
  • The invention is not limited to the embodiments described above. For example, the vias 224 can be formed after the RDLs, and can be etched through one or both of the RDLs.
  • Some embodiments provide a manufacture comprising:
  • a first substrate (e.g. 120.1 or 120) comprising one or more first contact pads (e.g. the top pads 120.1C.T);
  • one or more dies attached to the first substrate, each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
  • a second substrate (e.g. 410 or 410S) comprising one or more cavities, the second substrate being attached to the first substrate, wherein at least part of each die is located in a corresponding cavity in the second substrate, the second substrate comprising a surface area (e.g. a surface of legs 410L) which lies outside of the cavities and is attached to the first substrate;
  • wherein at least at some temperature at which the structure is electrically operable, at least one die satisfies one or both of conditions (A) and (B):
  • (A) the die physically contacts a surface of the corresponding cavity;
  • (B) the die is separated from the surface of the corresponding cavity by solid material (e.g. an encapsulant or a bonding layer) which physically contacts the die and the surface of the corresponding cavity.
  • In some embodiments, in a side view in which each cavity is in a bottom surface of the second substrate (e.g. as in FIG. 5C or 5E.1), said surface area of the second substrate laterally surrounds each cavity (e.g. as in FIG. 5E.2).
  • In some embodiments, the at least one die is attached to the surface of the corresponding cavity.
  • In some embodiments, the at least one die is not attached to the surface of the corresponding cavity.
  • In some embodiments, the one or more first contact pads are located at a first side of the first substrate;
  • the first substrate comprises one or more second contact pads at a second side opposite to the first side (e.g. contact pads 120.1C.B at the interposer bottom); and
  • the first substrate comprises one or more electrically conductive paths passing through the first substrate (e.g. metallized vias 224) and electrically connecting at least one first contact pad to at least one second contact pad.
  • In some embodiments, at least one of the conditions (A) and (B) is satisfied at room temperature.
  • In some embodiments, the at least one die is under pressure from the second substrate.
  • In some embodiments, the pressure does not exceed 200 MPa at room temperature. In some embodiments, the pressure is greater than the atmospheric pressure (1 bar, i.e. 105 Pa), and can be in the range from 1 bar to 200 MPa or any sub-range of this range. The pressure can also be above or below this range.
  • Some embodiments provide a method for fabricating an electrically functioning manufacture, the method comprising:
  • obtaining a first substrate (e.g. 120.1) comprising a first side and one or more first contact pads at the first side;
  • attaching one or more dies to the first substrate, each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
  • obtaining a second substrate (e.g. 410) comprising one or more cavities;
  • attaching the second substrate to the first substrate, with at least part of each die being located in a corresponding cavity in the second substrate, the second substrate comprising a surface area (e.g. bottom areal of legs 410L) which lies outside of the cavities and is attached to the first substrate;
  • wherein at least at some temperature at which the structure is electrically operable, at least one die satisfies one or both of conditions (A) and (B):
  • (A) the die physically contacts a surface of the corresponding cavity;
  • (B) the die is separated from the surface of the corresponding cavity by solid material which physically contacts the die and the surface of the corresponding cavity.
  • In some embodiments, in a side view in which each cavity is in a bottom surface of the second substrate, said surface area of the second substrate laterally surrounds each cavity.
  • In some embodiments, the at least one die is attached to the surface of the corresponding cavity.
  • In some embodiments, the at least one die is not attached to the surface of the corresponding cavity.
  • In some embodiments, the one or more first contact pads are located at a first side of the first substrate;
  • the first substrate comprises one or more second contact pads at a second side opposite to the first side; and
  • the first substrate comprises one or more electrically conductive paths passing through the first substrate and electrically connecting at least one first contact pad to at least one second contact pad.
  • In some embodiments, at least one of the conditions (A) and (B) is satisfied at room temperature.
  • In some embodiments, the at least one die is under pressure from the second substrate when the first substrate is attached to the second substrate.
  • In some embodiments, the pressure does not exceed 200 MPa at room temperature.
  • In some embodiments, the one or more dies are a plurality of dies, and the method further comprises polishing a solid surface at a first side of the dies before attaching the first substrate to the second substrate, the first side of the dies being a side opposite to each die's one or more contact pads, the solid surface being a surface of the dies or of an encapsulant formed on the dies.
  • In some embodiments, the solid surface is a surface of the encapsulant which comprises an epoxy.
  • Some embodiments provide a manufacture comprising:
  • a first substrate comprising one or more first contact pads;
  • one or more dies attached to the first substrate, each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
  • a second substrate comprising one or more cavities, the second substrate being attached to the first substrate, wherein at least part of each die is located in a corresponding cavity in the second substrate, the second substrate comprising a surface area which lies outside of the cavities and is attached to the first substrate;
  • wherein at least at some temperature at which the structure is electrically operable, at least one die is under pressure from the second substrate.
  • In some embodiments, the pressure does not exceed 200 MPa at room temperature.
  • In some embodiments, in a side view in which each cavity is in a bottom surface of the second substrate, said surface area of the second substrate laterally surrounds each cavity.
  • In some embodiments, the at least one die is attached to the surface of the corresponding cavity.
  • In some embodiments, wherein the at least one die is not attached to the surface of the corresponding cavity.
  • In some embodiments, wherein the one or more first contact pads are located at a first side of the first substrate;
  • the first substrate comprises one or more second contact pads at a second side opposite to the first side; and
  • the first substrate comprises one or more electrically conductive paths passing through the first substrate and electrically connecting at least one first contact pad to at least one second contact pad.
  • Other embodiments and variations are within the scope of the invention, as defined by the appended claims.

Claims (21)

1. A manufacture comprising:
(a) a structure comprising:
a first substrate comprising one or more first contact pads; and
one or more dies attached to the first substrate, each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
wherein the first structure comprises a region of a first material;
(b) a second substrate comprising one or more cavities in the bottom, an entire bottom surface of the second substrate being made of a second material, the second substrate being attached to said structure, wherein at least part of each die is located in a corresponding cavity in the second substrate;
wherein said region underlies the second substrate, and in top view said region reaches an outer lateral boundary of the second substrate and also reaches at least one of the one or more dies;
wherein the first material is or is not the same as the second material and has substantially the same coefficient of thermal expansion (CTE) as the second material;
wherein said region is directly bonded to the bottom surface of the second substrate to physically contact the bottom surface of the second substrate;
wherein at least a first cavity of the one or more cavities comprises an encapsulant which fills the first cavity over each die at least partially located in the first cavity but a top surface of the encapsulant is not attached to a top surface of the first cavity.
2. The manufacture of claim 1 wherein the bottom surface of the second substrate comprises a surface area attached to said structure, and said surface area laterally surrounds each cavity.
3. The manufacture of claim 32 wherein the at least one die is attached to the surface of the corresponding cavity.
4. The manufacture of claim 32 wherein the at least one die is not attached to the surface of the corresponding cavity.
5. The manufacture of claim 1 wherein:
the first substrate comprises one or more second contact pads at a bottom side of the first substrate; and
the first substrate comprises one or more electrically conductive paths passing through the first substrate and electrically connecting at least one first contact pad to at least one second contact pad.
6. The manufacture of claim 32 wherein at least one of the conditions (A) and (B) is satisfied at room temperature.
7-24. (canceled)
25. The manufacture of claim 1 wherein for at least one cavity, each die in the cavity has substantially the same CTE as the first and second materials.
26. The manufacture of claim 1 wherein the entire second substrate is made of the second material.
27. The manufacture of claim 1 wherein at least one of the first and second materials is semiconductor.
28. The manufacture of claim 1 further comprising an encapsulant covering and physically contacting each die, the encapsulant being a molding compound, wherein none of the first and second materials is a molding compound.
29. The manufacture of claim 1 wherein the first region is part of the first substrate.
30. The manufacture of claim 1 wherein the second substrate has a first thickness except at a location of each cavity, the second substrate being thinner at the location of each cavity than the first thickness.
31. The manufacture of claim 1 wherein the second substrate is thinner over each cavity than at a location not overlying any one of the one or more cavities.
32. The manufacture of claim 1 wherein at least at some temperature at which the structure is electrically operable, at least one die satisfies one or both of conditions (A) and (B):
(A) the die physically contacts a surface of the corresponding cavity;
(B) the die is separated from the surface of the corresponding cavity by solid material which physically contacts the die and the surface of the corresponding cavity.
33. A manufacture comprising:
(a) a structure comprising:
a first substrate comprising one or more first contact pads; and
one or more dies attached to the first substrate, each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
wherein the first structure comprises a region of a predefined material;
(b) a second substrate comprising one or more cavities in the bottom, an entire bottom surface of the second substrate being made of the predefined material, the second substrate being attached to said structure, wherein at least part of each die is located in a corresponding cavity in the second substrate;
wherein said region underlies the second substrate, and in top view said region reaches an outer lateral boundary of the second substrate and also reaches at least one of the one or more dies;
wherein said region is directly bonded to the bottom surface of the second substrate to physically contact the bottom surface of the second substrate;
wherein in at least a first cavity of the one or more cavities, for at least one die at least partially located in the first cavity, the die is attached to a top surface of the first cavity without a molding compound between the die and the top surface of the first cavity.
34. The manufacture of claim 33 wherein at least at some temperature at which the structure is electrically operable, at least one die satisfies one or both of conditions (A) and (B):
(A) the die physically contacts a surface of the corresponding cavity;
(B) the die is separated from the surface of the corresponding cavity by solid material which physically contacts the die and the surface of the corresponding cavity.
35. The manufacture of claim 33 wherein said region is part of the first substrate.
36. A manufacture comprising:
(a) a first substrate comprising:
a first body made of a first material;
one or more conductive vias each of which passes through the first body between a top surface and a bottom surface of the first body;
a redistribution layer comprising one or more conductive lines and dielectric which is not the first material; and
one or more first contact pads at a top of the redistribution layer and spaced from the first body, the one or more conductive lines interconnecting one or more of the one or more conductive vias and one or more of the one or more first contact pads;
(b) one or more dies attached to the first substrate, each die comprising a semiconductor integrated circuit which comprises one or more contact pads each of which is attached to a respective first contact pad;
(c) a second substrate comprising a second body made from a second material which is or is not the same as the first material, the second substrate comprising one or more cavities in the bottom, each cavity extending into the second body, the second body being thinner above each cavity than at a location not overlying any one of the one or more cavities, the second substrate comprising a bottom surface comprising an area which lies outside of the one or more cavities and is directly bonded to a top surface of the redistribution layer to physically contact the top surface of the redistribution layer;
wherein the first material has substantially the same coefficient of thermal expansion (CTE) as the second material;
wherein in at least a first cavity of the one or more cavities, for at least one die at least partially located in the first cavity, the die is attached to a top surface of the first cavity without a molding compound between the die and the top surface of the first cavity.
37. The manufacture of claim 36 wherein the first material is the same as the second material.
38. The manufacture of claim 36 wherein at least one of the first and second materials is semiconductor.
US14/214,365 2014-03-12 2014-03-14 Integrated circuits protected by substrates with cavities, and methods of manufacture Abandoned US20150262902A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US14/214,365 US20150262902A1 (en) 2014-03-12 2014-03-14 Integrated circuits protected by substrates with cavities, and methods of manufacture
US14/288,064 US9355997B2 (en) 2014-03-12 2014-05-27 Integrated circuit assemblies with reinforcement frames, and methods of manufacture
PCT/US2015/019609 WO2015138393A1 (en) 2014-03-12 2015-03-10 Integrated circuits protected by substrates with cavities, and methods of manufacture
TW104107704A TWI573223B (en) 2014-03-12 2015-03-10 Integrated circuits protected by substrates with cavities, and methods of manufacture
KR1020167028245A KR20160132093A (en) 2014-03-12 2015-03-10 Integrated circuits protected by substrates with cavities, and methods of manufacture
US15/165,837 US9887166B2 (en) 2014-03-12 2016-05-26 Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US15/265,148 US9899281B2 (en) 2014-03-12 2016-09-14 Integrated circuits protected by substrates with cavities, and methods of manufacture
US15/865,842 US10446456B2 (en) 2014-03-12 2018-01-09 Integrated circuits protected by substrates with cavities, and methods of manufacture
US16/599,683 US11205600B2 (en) 2014-03-12 2019-10-11 Integrated circuits protected by substrates with cavities, and methods of manufacture

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461952066P 2014-03-12 2014-03-12
US14/214,365 US20150262902A1 (en) 2014-03-12 2014-03-14 Integrated circuits protected by substrates with cavities, and methods of manufacture

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US14/288,064 Continuation-In-Part US9355997B2 (en) 2014-03-12 2014-05-27 Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US15/265,148 Continuation US9899281B2 (en) 2014-03-12 2016-09-14 Integrated circuits protected by substrates with cavities, and methods of manufacture

Publications (1)

Publication Number Publication Date
US20150262902A1 true US20150262902A1 (en) 2015-09-17

Family

ID=54069679

Family Applications (6)

Application Number Title Priority Date Filing Date
US14/214,365 Abandoned US20150262902A1 (en) 2014-03-12 2014-03-14 Integrated circuits protected by substrates with cavities, and methods of manufacture
US14/558,462 Active US9324626B2 (en) 2014-03-12 2014-12-02 Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication
US15/005,220 Active US9691696B2 (en) 2014-03-12 2016-01-25 Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication
US15/265,148 Active US9899281B2 (en) 2014-03-12 2016-09-14 Integrated circuits protected by substrates with cavities, and methods of manufacture
US15/865,842 Active US10446456B2 (en) 2014-03-12 2018-01-09 Integrated circuits protected by substrates with cavities, and methods of manufacture
US16/599,683 Active US11205600B2 (en) 2014-03-12 2019-10-11 Integrated circuits protected by substrates with cavities, and methods of manufacture

Family Applications After (5)

Application Number Title Priority Date Filing Date
US14/558,462 Active US9324626B2 (en) 2014-03-12 2014-12-02 Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication
US15/005,220 Active US9691696B2 (en) 2014-03-12 2016-01-25 Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication
US15/265,148 Active US9899281B2 (en) 2014-03-12 2016-09-14 Integrated circuits protected by substrates with cavities, and methods of manufacture
US15/865,842 Active US10446456B2 (en) 2014-03-12 2018-01-09 Integrated circuits protected by substrates with cavities, and methods of manufacture
US16/599,683 Active US11205600B2 (en) 2014-03-12 2019-10-11 Integrated circuits protected by substrates with cavities, and methods of manufacture

Country Status (4)

Country Link
US (6) US20150262902A1 (en)
KR (1) KR20160132093A (en)
TW (2) TWI573223B (en)
WO (1) WO2015138393A1 (en)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160049361A1 (en) * 2014-05-02 2016-02-18 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US9324626B2 (en) 2014-03-12 2016-04-26 Invensas Corporation Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication
US20160148855A1 (en) * 2014-11-21 2016-05-26 Delta Electronics, Inc. Packaging device and manufacturing method thereof
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20160190034A1 (en) * 2014-03-31 2016-06-30 Fuji Electric Co., Ltd. Power conversion device
US20160247742A1 (en) * 2015-02-19 2016-08-25 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US20160293581A1 (en) * 2015-03-30 2016-10-06 Mediatek Inc. Semiconductor package assembly with embedded ipd
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9536862B2 (en) 2014-07-10 2017-01-03 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
US9666514B2 (en) * 2015-04-14 2017-05-30 Invensas Corporation High performance compliant substrate
WO2017099931A1 (en) * 2015-12-10 2017-06-15 Intel Corporation Reduced-height memory system and method
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
EP3240027A1 (en) * 2016-04-25 2017-11-01 Technische Hochschule Ingolstadt Semiconductor package
US9922845B1 (en) * 2016-11-03 2018-03-20 Micron Technology, Inc. Semiconductor package and fabrication method thereof
WO2019149778A1 (en) * 2018-01-31 2019-08-08 Tdk Electronics Ag Electronic component
US10522352B2 (en) * 2016-10-07 2019-12-31 Xcelsis Corporation Direct-bonded native interconnects and active base die
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
US10916524B2 (en) * 2016-12-29 2021-02-09 Intel Corporation Stacked dice systems
US11152336B2 (en) 2016-10-07 2021-10-19 Xcelsis Corporation 3D processor having stacked integrated circuit die
US11302683B2 (en) * 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11557516B2 (en) 2016-10-07 2023-01-17 Adeia Semiconductor Inc. 3D chip with shared clock distribution network
US11569198B2 (en) * 2018-01-03 2023-01-31 Intel Corporation Stacked semiconductor die architecture with multiple layers of disaggregation
US20230141270A1 (en) * 2021-11-09 2023-05-11 Samsung Electro-Mechanics Co., Ltd. Printed circuit board
CN116230556A (en) * 2023-05-06 2023-06-06 芯盟科技有限公司 Chip carrier, forming method thereof, wafer bonding structure and forming method thereof
US11728292B2 (en) 2015-05-05 2023-08-15 Mediatek Inc. Semiconductor package assembly having a conductive electromagnetic shield layer
US11790219B2 (en) 2017-08-03 2023-10-17 Adeia Semiconductor Inc. Three dimensional circuit implementing machine trained network
US11824042B2 (en) 2016-10-07 2023-11-21 Xcelsis Corporation 3D chip sharing data bus
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
JP6464435B2 (en) * 2013-09-27 2019-02-06 インテル・コーポレーション Die package with superposer substrate for passive elements
KR102373809B1 (en) * 2014-07-02 2022-03-14 삼성전기주식회사 Package structure and manufacturing method thereof
US9627285B2 (en) 2014-07-25 2017-04-18 Dyi-chung Hu Package substrate
TWI558288B (en) * 2014-09-10 2016-11-11 恆勁科技股份有限公司 Interposer substrate and method of fabricating the same
DE102014114982B4 (en) * 2014-10-15 2023-01-26 Infineon Technologies Ag Method of forming a chip package
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US10306777B2 (en) * 2014-12-15 2019-05-28 Bridge Semiconductor Corporation Wiring board with dual stiffeners and dual routing circuitries integrated together and method of making the same
US9741620B2 (en) * 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10276541B2 (en) * 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package structure and methods of forming same
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10056338B2 (en) * 2015-10-27 2018-08-21 Micron Technology, Inc. Methods of forming semiconductor packages including molding semiconductor chips of the semiconductor packages
US9818637B2 (en) * 2015-12-29 2017-11-14 Globalfoundries Inc. Device layer transfer with a preserved handle wafer section
US9984998B2 (en) * 2016-01-06 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices employing thermal and mechanical enhanced layers and methods of forming same
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
TWI606563B (en) * 2016-04-01 2017-11-21 力成科技股份有限公司 Thin stacked chip package and the method for manufacturing the same
US20170287870A1 (en) * 2016-04-01 2017-10-05 Powertech Technology Inc. Stacked chip package structure and manufacturing method thereof
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10109540B2 (en) 2016-06-08 2018-10-23 International Business Machines Corporation Fabrication of sacrificial interposer test structure
US9818729B1 (en) * 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
KR102019352B1 (en) * 2016-06-20 2019-09-09 삼성전자주식회사 Fan-out semiconductor package
JP6716363B2 (en) 2016-06-28 2020-07-01 株式会社アムコー・テクノロジー・ジャパン Semiconductor package and manufacturing method thereof
US10204884B2 (en) * 2016-06-29 2019-02-12 Intel Corporation Multichip packaging for dice of different sizes
US9825007B1 (en) * 2016-07-13 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US11469215B2 (en) * 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
CN109643702A (en) * 2016-10-01 2019-04-16 英特尔公司 Electron device package
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11276667B2 (en) * 2016-12-31 2022-03-15 Intel Corporation Heat removal between top and bottom die interface
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (en) 2017-03-22 2020-02-05 キオクシア株式会社 Semiconductor device manufacturing method and semiconductor device
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10410940B2 (en) * 2017-06-30 2019-09-10 Intel Corporation Semiconductor package with cavity
US10475747B2 (en) * 2017-08-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
DE102017123175B4 (en) 2017-10-05 2024-02-22 Infineon Technologies Ag Semiconductor component and method for its production
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
EP3483921A1 (en) * 2017-11-11 2019-05-15 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Embedding known-good component in known-good cavity of known-good component carrier material with pre-formed electric connection structure
US10784247B2 (en) * 2017-11-15 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Process control for package formation
CN107863333A (en) * 2017-11-15 2018-03-30 贵州贵芯半导体有限公司 Line-spacing stack type chip package structure and its method for packing such as height radiating
DE102017127089B4 (en) * 2017-11-17 2022-05-25 Infineon Technologies Austria Ag Multi-die package and power converters
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
KR102404058B1 (en) 2017-12-28 2022-05-31 삼성전자주식회사 Semiconductor package
WO2019132958A1 (en) * 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10727203B1 (en) * 2018-05-08 2020-07-28 Rockwell Collins, Inc. Die-in-die-cavity packaging
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
KR20210009426A (en) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 TV as a pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
CN109003961B (en) * 2018-07-26 2020-06-16 华进半导体封装先导技术研发中心有限公司 3D system integrated structure and manufacturing method thereof
US10825774B2 (en) 2018-08-01 2020-11-03 Samsung Electronics Co., Ltd. Semiconductor package
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11024605B2 (en) * 2019-05-31 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN112670274A (en) * 2019-10-16 2021-04-16 长鑫存储技术有限公司 Semiconductor packaging method, semiconductor packaging structure and packaging body
US11018056B1 (en) * 2019-11-01 2021-05-25 Micron Technology, Inc. Encapsulated solder TSV insertion interconnect
US10998271B1 (en) 2019-11-01 2021-05-04 Micron Technology, Inc. High density pillar interconnect conversion with stack to substrate connection
US11088114B2 (en) 2019-11-01 2021-08-10 Micron Technology, Inc. High density pillar interconnect conversion with stack to substrate connection
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
CN110828496B (en) * 2019-11-15 2022-10-11 华天科技(昆山)电子有限公司 Semiconductor device and method for manufacturing the same
KR102643424B1 (en) 2019-12-13 2024-03-06 삼성전자주식회사 Semiconductor package
KR20210076589A (en) * 2019-12-16 2021-06-24 삼성전기주식회사 Electronic component embedded substrate
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11444059B2 (en) * 2019-12-19 2022-09-13 Micron Technology, Inc. Wafer-level stacked die structures and associated systems and methods
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
CN111115553B (en) * 2019-12-25 2023-04-14 北京遥测技术研究所 Double-cavity metal packaging shell based on energy storage welding mode and packaging method
US11404337B2 (en) 2019-12-27 2022-08-02 Apple Inc. Scalable extreme large size substrate integration
JP7354885B2 (en) * 2020-03-12 2023-10-03 富士通株式会社 Semiconductor device and semiconductor device manufacturing method
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11552029B2 (en) * 2020-09-04 2023-01-10 Micron Technology, Inc. Semiconductor devices with reinforced substrates
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN112218428B (en) * 2020-11-04 2022-02-18 生益电子股份有限公司 Manufacturing method of embedded cavity and PCB
EP4362086A1 (en) * 2021-08-19 2024-05-01 Huawei Technologies Co., Ltd. Chip package structure and electronic apparatus
US11810895B2 (en) * 2021-10-14 2023-11-07 Honeywell Federal Manufacturing & Technologies, Llc Electrical interconnect structure using metal bridges to interconnect die
CN116230555B (en) * 2023-05-06 2023-08-29 芯盟科技有限公司 Chip carrier, forming method thereof and forming method of wafer bonding structure
CN117153839A (en) * 2023-08-28 2023-12-01 湖北三维半导体集成创新中心有限责任公司 Packaging structure and manufacturing method thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100084761A1 (en) * 2008-10-06 2010-04-08 Masatoshi Shinagawa Semiconductor device and fabrication method of the same
US20100230797A1 (en) * 2003-02-03 2010-09-16 Hirokazu Honda Warp-suppressed semiconductor device
US20110080713A1 (en) * 2009-10-06 2011-04-07 Shinko Electric Industries Co., Ltd. Interposer mounted wiring board and electronic component device
US20120101540A1 (en) * 2010-10-26 2012-04-26 Medtronic, Inc. Wafer-scale package including power source
US20130082399A1 (en) * 2011-10-04 2013-04-04 Won-keun Kim Semiconductor package and method of manufacturing the same
US20140134803A1 (en) * 2012-11-15 2014-05-15 Michael G. Kelly Method And System For A Semiconductor Device Package With A Die-To-Die First Bond

Family Cites Families (399)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (en) 1988-09-07 1990-03-12 Nec Corp Structure and method for connecting substrates
JPH0344067A (en) 1989-07-11 1991-02-25 Nec Corp Laminating method of semiconductor substrate
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
DE69429848T2 (en) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Electronic assembly and manufacturing method
KR960009074A (en) 1994-08-29 1996-03-22 모리시다 요이치 Semiconductor device and manufacturing method thereof
US5567653A (en) 1994-09-14 1996-10-22 International Business Machines Corporation Process for aligning etch masks on an integrated circuit surface using electromagnetic energy
DE4433330C2 (en) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Method for producing semiconductor structures with advantageous high-frequency properties and a semiconductor wafer structure
US5701233A (en) 1995-01-23 1997-12-23 Irvine Sensors Corporation Stackable modules and multimodular assemblies
JP3979687B2 (en) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド Method for improving film stability of halogen-doped silicon oxide films
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
US6008536A (en) 1997-06-23 1999-12-28 Lsi Logic Corporation Grid array device package including advanced heat transfer mechanisms
JP4032454B2 (en) 1997-06-27 2008-01-16 ソニー株式会社 Manufacturing method of three-dimensional circuit element
US6157076A (en) 1997-06-30 2000-12-05 Intersil Corporation Hermetic thin pack semiconductor device
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US6624505B2 (en) 1998-02-06 2003-09-23 Shellcase, Ltd. Packaged integrated circuits and methods of producing thereof
JP3630551B2 (en) 1998-04-02 2005-03-16 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP3857435B2 (en) 1998-08-31 2006-12-13 ローム株式会社 Optical semiconductor element, optical semiconductor element mounting structure, and optical semiconductor element group packaging structure
JP2000100679A (en) 1998-09-22 2000-04-07 Canon Inc Substrate-to-substrate microregion solid-phase junction method with thinner piece and element structure
JP3532788B2 (en) 1999-04-13 2004-05-31 唯知 須賀 Semiconductor device and manufacturing method thereof
TW426931B (en) 1999-07-29 2001-03-21 Mosel Vitelic Inc Manufacturing method and structure of trench type capacitor having a cylindrical conductive plate
JP2001102479A (en) 1999-09-27 2001-04-13 Toshiba Corp Semiconductor integrated circuit device and manufacturing method thereof
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
JP2001148436A (en) 1999-11-22 2001-05-29 Ngk Spark Plug Co Ltd Ceramic package and its manufacturing method
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6251796B1 (en) 2000-02-24 2001-06-26 Conexant Systems, Inc. Method for fabrication of ceramic tantalum nitride and improved structures based thereon
JP2001267473A (en) * 2000-03-17 2001-09-28 Hitachi Ltd Semiconductor device and its manufacturing method
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
JP4322402B2 (en) 2000-06-22 2009-09-02 大日本印刷株式会社 Printed wiring board and manufacturing method thereof
JP3440057B2 (en) 2000-07-05 2003-08-25 唯知 須賀 Semiconductor device and manufacturing method thereof
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6492726B1 (en) 2000-09-22 2002-12-10 Chartered Semiconductor Manufacturing Ltd. Chip scale packaging with multi-layer flip chip arrangement and ball grid array interconnection
US6900549B2 (en) 2001-01-17 2005-05-31 Micron Technology, Inc. Semiconductor assembly without adhesive fillets
US6717254B2 (en) 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6451650B1 (en) 2001-04-20 2002-09-17 Taiwan Semiconductor Manufacturing Company Low thermal budget method for forming MIM capacitor
JP2002353416A (en) 2001-05-25 2002-12-06 Sony Corp Semiconductor storage device and manufacturing method therefor
US20020179921A1 (en) 2001-06-02 2002-12-05 Cohn Michael B. Compliant hermetic package
US7061102B2 (en) 2001-06-11 2006-06-13 Xilinx, Inc. High performance flipchip package that incorporates heat removal with minimal thermal mismatch
US6856007B2 (en) 2001-08-28 2005-02-15 Tessera, Inc. High-frequency chip packages
US6787916B2 (en) 2001-09-13 2004-09-07 Tru-Si Technologies, Inc. Structures having a substrate with a cavity and having an integrated circuit bonded to a contact pad located in the cavity
US6620701B2 (en) 2001-10-12 2003-09-16 Infineon Technologies Ag Method of fabricating a metal-insulator-metal (MIM) capacitor
US6818464B2 (en) 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
JP2003204074A (en) 2001-10-29 2003-07-18 Sharp Corp Sealing film for solar battery and method of manufacturing solar battery panel using the film
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7633765B1 (en) 2004-03-23 2009-12-15 Amkor Technology, Inc. Semiconductor package including a top-surface metal layer for implementing circuit features
JP2004014714A (en) 2002-06-05 2004-01-15 Mitsubishi Electric Corp Method for manufacturing capacitor
US6876062B2 (en) 2002-06-27 2005-04-05 Taiwan Semiconductor Manufacturing Co., Ltd Seal ring and die corner stress relief pattern design to protect against moisture and metallic impurities
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (en) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド Polishing method and polishing composition used therefor
WO2004023111A1 (en) 2002-09-09 2004-03-18 Singapore Institute Of Manufacturing Technology Apparatus and method for bonding strength testing
GB0221439D0 (en) 2002-09-16 2002-10-23 Enpar Technologies Inc Ion-exchange/electrochemical treatment of ammonia in waste-water
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP4056854B2 (en) 2002-11-05 2008-03-05 新光電気工業株式会社 Manufacturing method of semiconductor device
US6919508B2 (en) 2002-11-08 2005-07-19 Flipchip International, Llc Build-up structures with multi-angle vias for chip to chip interconnects and optical bussing
US7400036B2 (en) 2002-12-16 2008-07-15 Avago Technologies General Ip Pte Ltd Semiconductor chip package with a package substrate and a lid cover
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4502173B2 (en) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7247933B2 (en) 2003-02-04 2007-07-24 Advanced Interconnect Technologies Limited Thin multiple semiconductor die package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI278975B (en) 2003-03-04 2007-04-11 Siliconware Precision Industries Co Ltd Semiconductor package with heatsink
SG137651A1 (en) 2003-03-14 2007-12-28 Micron Technology Inc Microelectronic devices and methods for packaging microelectronic devices
JP2004281830A (en) 2003-03-17 2004-10-07 Shinko Electric Ind Co Ltd Substrate for semiconductor device, method of manufacturing substrate, and semiconductor device
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7102217B2 (en) 2003-04-09 2006-09-05 Micron Technology, Inc. Interposer substrates with reinforced interconnect slots, and semiconductor die packages including same
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040259325A1 (en) 2003-06-19 2004-12-23 Qing Gan Wafer level chip scale hermetic package
US7012326B1 (en) 2003-08-25 2006-03-14 Xilinx, Inc. Lid and method of employing a lid on an integrated circuit
KR100537892B1 (en) 2003-08-26 2005-12-21 삼성전자주식회사 Chip stack package and manufacturing method thereof
TWI251916B (en) 2003-08-28 2006-03-21 Phoenix Prec Technology Corp Semiconductor assembled heat sink structure for embedding electronic components
US7031162B2 (en) 2003-09-26 2006-04-18 International Business Machines Corporation Method and structure for cooling a dual chip module with one high power chip
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7183643B2 (en) 2003-11-04 2007-02-27 Tessera, Inc. Stacked packages and systems incorporating the same
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US6979899B2 (en) * 2003-12-31 2005-12-27 Texas Instruments Incorported System and method for high performance heat sink for multiple chip devices
US7115988B1 (en) 2004-01-21 2006-10-03 Altera Corporation Bypass capacitor embedded flip chip package lid and stiffener
KR20050076742A (en) 2004-01-22 2005-07-27 마츠시타 덴끼 산교 가부시키가이샤 Fabrication method for optical transmission channel board, optical transmission channel board, board with built-in optical transmission channel, fabrication method for board with built-in optical transmission channel, and data processing apparatus
US7165896B2 (en) 2004-02-12 2007-01-23 Hymite A/S Light transmitting modules with optical power monitoring
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
JP4441328B2 (en) 2004-05-25 2010-03-31 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP3972209B2 (en) 2004-05-26 2007-09-05 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof, circuit board, and electronic apparatus
US7183622B2 (en) 2004-06-30 2007-02-27 Intel Corporation Module integrating MEMS and passive components
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7786591B2 (en) 2004-09-29 2010-08-31 Broadcom Corporation Die down ball grid array package
US6947275B1 (en) 2004-10-18 2005-09-20 International Business Machines Corporation Fin capacitor
CN101080359A (en) 2004-11-04 2007-11-28 微芯片公司 Compression and cold weld sealing methods and devices
KR100498708B1 (en) 2004-11-08 2005-07-01 옵토팩 주식회사 Electronic package for semiconductor device and packaging method thereof
EP1818979B1 (en) 2004-12-02 2012-07-04 Murata Manufacturing Co., Ltd. Electronic component and fabrication method thereof
KR100594952B1 (en) 2005-02-04 2006-06-30 삼성전자주식회사 Wafer level packaging cap and fablication method thereof
US7358106B2 (en) 2005-03-03 2008-04-15 Stellar Micro Devices Hermetic MEMS package and method of manufacture
US7442570B2 (en) 2005-03-18 2008-10-28 Invensence Inc. Method of fabrication of a AL/GE bonding in a wafer packaging environment and a product produced therefrom
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7897503B2 (en) 2005-05-12 2011-03-01 The Board Of Trustees Of The University Of Arkansas Infinitely stackable interconnect device and method
US7767493B2 (en) 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
JP2007019107A (en) 2005-07-05 2007-01-25 Shinko Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2007042719A (en) 2005-08-01 2007-02-15 Nec Electronics Corp Semiconductor device
JP4889974B2 (en) 2005-08-01 2012-03-07 新光電気工業株式会社 Electronic component mounting structure and manufacturing method thereof
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7582969B2 (en) 2005-08-26 2009-09-01 Innovative Micro Technology Hermetic interconnect structure and method of manufacture
US20070045795A1 (en) 2005-08-31 2007-03-01 Mcbean Ronald V MEMS package and method of forming the same
US7906803B2 (en) 2005-12-06 2011-03-15 Canon Kabushiki Kaisha Nano-wire capacitor and circuit device therewith
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7344954B2 (en) 2006-01-03 2008-03-18 United Microelectonics Corp. Method of manufacturing a capacitor deep trench and of etching a deep trench opening
US7560761B2 (en) 2006-01-09 2009-07-14 International Business Machines Corporation Semiconductor structure including trench capacitor and trench resistor
US20070188054A1 (en) 2006-02-13 2007-08-16 Honeywell International Inc. Surface acoustic wave packages and methods of forming same
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7977579B2 (en) 2006-03-30 2011-07-12 Stats Chippac Ltd. Multiple flip-chip integrated circuit package system
DE102006016260A1 (en) 2006-04-06 2007-10-18 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Micromechanical housing with at least two cavities with different internal pressure and / or gas composition and method for their production
US7390700B2 (en) 2006-04-07 2008-06-24 Texas Instruments Incorporated Packaged system of semiconductor chips having a semiconductor interposer
US7510928B2 (en) 2006-05-05 2009-03-31 Tru-Si Technologies, Inc. Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
US7462931B2 (en) 2006-05-15 2008-12-09 Innovative Micro Technology Indented structure for encapsulated devices and method of manufacture
US7513035B2 (en) 2006-06-07 2009-04-07 Advanced Micro Devices, Inc. Method of integrated circuit packaging
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
JP5107539B2 (en) 2006-08-03 2012-12-26 新光電気工業株式会社 Semiconductor device and manufacturing method of semiconductor device
JP5258567B2 (en) 2006-08-11 2013-08-07 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー Semiconductor device and manufacturing method thereof
US7430359B2 (en) 2006-10-02 2008-09-30 Miradia, Inc. Micromechanical system containing a microfluidic lubricant channel
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US20080124835A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Hermetic seal and reliable bonding structures for 3d applications
US7550857B1 (en) 2006-11-16 2009-06-23 Amkor Technology, Inc. Stacked redistribution layer (RDL) die assembly package
US20080128897A1 (en) 2006-12-05 2008-06-05 Tong Wa Chao Heat spreader for a multi-chip package
KR100833508B1 (en) 2006-12-07 2008-05-29 한국전자통신연구원 Mems package and package method thereof
US7670921B2 (en) 2006-12-28 2010-03-02 International Business Machines Corporation Structure and method for self aligned vertical plate capacitor
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8183687B2 (en) 2007-02-16 2012-05-22 Broadcom Corporation Interposer for die stacking in semiconductor packages and the method of making the same
JP4792143B2 (en) 2007-02-22 2011-10-12 株式会社デンソー Semiconductor device and manufacturing method thereof
US7800916B2 (en) * 2007-04-09 2010-09-21 Endicott Interconnect Technologies, Inc. Circuitized substrate with internal stacked semiconductor chips, method of making same, electrical assembly utilizing same and information handling system utilizing same
US7723159B2 (en) 2007-05-04 2010-05-25 Stats Chippac, Ltd. Package-on-package using through-hole via die on saw streets
US8039309B2 (en) 2007-05-10 2011-10-18 Texas Instruments Incorporated Systems and methods for post-circuitization assembly
US7737513B2 (en) 2007-05-30 2010-06-15 Tessera, Inc. Chip assembly including package element and integrated circuit chip
KR100909322B1 (en) 2007-07-02 2009-07-24 주식회사 네패스 Ultra-thin semiconductor package and manufacturing method thereof
US20090057884A1 (en) * 2007-08-29 2009-03-05 Seah Sun Too Multi-Chip Package
KR101572600B1 (en) 2007-10-10 2015-11-27 테세라, 인코포레이티드 Robust multi-layer wiring elements and assemblies with embedded microelectronic elements
KR20090056044A (en) * 2007-11-29 2009-06-03 삼성전자주식회사 Semiconductor device package and method of fabricating the same
EP2215655A4 (en) 2007-11-30 2014-07-30 Skyworks Solutions Inc Wafer level packaging using flip chip mounting
US7928548B2 (en) 2008-01-07 2011-04-19 International Business Machines Corporation Silicon heat spreader mounted in-plane with a heat source and method therefor
US7901987B2 (en) 2008-03-19 2011-03-08 Stats Chippac Ltd. Package-on-package system with internal stacking module interposer
JP2009238905A (en) 2008-03-26 2009-10-15 Nippon Telegr & Teleph Corp <Ntt> Mounting structure and mounting method for semiconductor element
US8787060B2 (en) 2010-11-03 2014-07-22 Netlist, Inc. Method and apparatus for optimizing driver load in a memory package
SG142321A1 (en) 2008-04-24 2009-11-26 Micron Technology Inc Pre-encapsulated cavity interposer
US8008764B2 (en) 2008-04-28 2011-08-30 International Business Machines Corporation Bridges for interconnecting interposers in multi-chip integrated circuits
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US7863096B2 (en) 2008-07-17 2011-01-04 Fairchild Semiconductor Corporation Embedded die package and process flow using a pre-molded carrier
JP2010034403A (en) 2008-07-30 2010-02-12 Shinko Electric Ind Co Ltd Wiring substrate and electronic component device
JP5585447B2 (en) 2008-07-31 2014-09-10 日本電気株式会社 Semiconductor device and manufacturing method thereof
US8101494B2 (en) 2008-08-14 2012-01-24 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8257985B2 (en) 2008-09-25 2012-09-04 Texas Instruments Incorporated MEMS device and fabrication method
JP5284235B2 (en) 2008-09-29 2013-09-11 日本特殊陶業株式会社 Semiconductor package
KR20100037300A (en) 2008-10-01 2010-04-09 삼성전자주식회사 Method of forming semiconductor device having embedded interposer
MY149251A (en) 2008-10-23 2013-07-31 Carsem M Sdn Bhd Wafer-level package using stud bump coated with solder
KR20100046760A (en) 2008-10-28 2010-05-07 삼성전자주식회사 Semiconductor package
KR101015704B1 (en) 2008-12-01 2011-02-22 삼성전기주식회사 Chip embedded printed circuit board and manufacturing method thereof
US8110908B2 (en) 2008-12-04 2012-02-07 Stats Chippac Ltd. Integrated circuit packaging system using bottom flip chip die bonding and method of manufacture thereof
US8354304B2 (en) 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
US7858441B2 (en) 2008-12-08 2010-12-28 Stats Chippac, Ltd. Semiconductor package with semiconductor core structure and method of forming same
KR100945800B1 (en) 2008-12-09 2010-03-05 김영혜 Method for manufacturing heterogeneous bonded wafer
US8089144B2 (en) 2008-12-17 2012-01-03 Denso Corporation Semiconductor device and method for manufacturing the same
JP5308145B2 (en) 2008-12-19 2013-10-09 ルネサスエレクトロニクス株式会社 Semiconductor device
US8269671B2 (en) 2009-01-27 2012-09-18 International Business Machines Corporation Simple radio frequency integrated circuit (RFIC) packages with integrated antennas
US8278749B2 (en) 2009-01-30 2012-10-02 Infineon Technologies Ag Integrated antennas in wafer level package
US8343806B2 (en) 2009-03-05 2013-01-01 Raytheon Company Hermetic packaging of integrated circuit components
US7989270B2 (en) 2009-03-13 2011-08-02 Stats Chippac, Ltd. Semiconductor device and method of forming three-dimensional vertically oriented integrated capacitors
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
SE537499C2 (en) 2009-04-30 2015-05-26 Silex Microsystems Ab Bonding material structure and process with bonding material structure
US8216887B2 (en) 2009-05-04 2012-07-10 Advanced Micro Devices, Inc. Semiconductor chip package with stiffener frame and configured lid
US20100288525A1 (en) 2009-05-12 2010-11-18 Alcatel-Lucent Usa, Incorporated Electronic package and method of manufacture
EP2259018B1 (en) 2009-05-29 2017-06-28 Infineon Technologies AG Gap control for die or layer bonding using intermediate layers of a micro-electromechanical system
FR2947481B1 (en) 2009-07-03 2011-08-26 Commissariat Energie Atomique SIMPLIFIED COPPER-COPPER BONDING PROCESS
EP2273545B1 (en) 2009-07-08 2016-08-31 Imec Method for insertion bonding and kit of parts for use in said method
US8034660B2 (en) 2009-07-24 2011-10-11 Texas Instruments Incorporated PoP precursor with interposer for top package bond pad pitch compensation
US8383457B2 (en) 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
US8143097B2 (en) 2009-09-23 2012-03-27 Stats Chippac, Ltd. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US8531012B2 (en) 2009-10-23 2013-09-10 Stats Chippac, Ltd. Semiconductor device and method of forming a shielding layer over a semiconductor die disposed in a cavity of an interconnect structure and grounded through the die TSV
JP5295932B2 (en) 2009-11-02 2013-09-18 新光電気工業株式会社 Semiconductor package, evaluation method thereof, and manufacturing method thereof
US8653654B2 (en) 2009-12-16 2014-02-18 Stats Chippac Ltd. Integrated circuit packaging system with a stackable package and method of manufacture thereof
JP5115618B2 (en) 2009-12-17 2013-01-09 株式会社デンソー Semiconductor device
FR2954585B1 (en) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies METHOD FOR MAKING A HETEROSTRUCTURE WITH MINIMIZATION OF STRESS
JP5568786B2 (en) 2009-12-24 2014-08-13 新光電気工業株式会社 Semiconductor package manufacturing method and semiconductor package
US8519537B2 (en) 2010-02-26 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8378480B2 (en) 2010-03-04 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy wafers in 3DIC package assemblies
US8541886B2 (en) 2010-03-09 2013-09-24 Stats Chippac Ltd. Integrated circuit packaging system with via and method of manufacture thereof
US8183696B2 (en) 2010-03-31 2012-05-22 Infineon Technologies Ag Packaged semiconductor device with encapsulant embedding semiconductor chip that includes contact pads
JP4900498B2 (en) 2010-04-26 2012-03-21 セイコーエプソン株式会社 Electronic components
FR2960339B1 (en) 2010-05-18 2012-05-18 Commissariat Energie Atomique METHOD FOR PRODUCING CHIP ELEMENTS WITH WIRE INSERTION GROOVES
US8349653B2 (en) 2010-06-02 2013-01-08 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional metal interconnect technologies
KR101394205B1 (en) 2010-06-09 2014-05-14 에스케이하이닉스 주식회사 Semiconductor packag
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US20120001339A1 (en) 2010-06-30 2012-01-05 Pramod Malatkar Bumpless build-up layer package design with an interposer
JP5517800B2 (en) 2010-07-09 2014-06-11 キヤノン株式会社 Member for solid-state imaging device and method for manufacturing solid-state imaging device
KR101129909B1 (en) 2010-07-20 2012-03-23 주식회사 하이닉스반도체 Pillar type capacitor of semiconductor device and method for forming the same
US8598695B2 (en) 2010-07-23 2013-12-03 Tessera, Inc. Active chip on carrier or laminated chip having microelectronic element embedded therein
US8847376B2 (en) 2010-07-23 2014-09-30 Tessera, Inc. Microelectronic elements with post-assembly planarization
TWI445104B (en) 2010-08-25 2014-07-11 Advanced Semiconductor Eng Semiconductor package structure and process thereof
US9343436B2 (en) 2010-09-09 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked package and method of manufacturing the same
US8617926B2 (en) 2010-09-09 2013-12-31 Advanced Micro Devices, Inc. Semiconductor chip device with polymeric filler trench
US8330559B2 (en) 2010-09-10 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging
US8411444B2 (en) 2010-09-15 2013-04-02 International Business Machines Corporation Thermal interface material application for integrated circuit cooling
US8830689B2 (en) 2010-09-16 2014-09-09 Samsung Electro-Mechanics Co., Ltd. Interposer-embedded printed circuit board
US9856132B2 (en) 2010-09-18 2018-01-02 Fairchild Semiconductor Corporation Sealed packaging for microelectromechanical systems
AU2011312010A1 (en) 2010-10-06 2013-05-02 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
FR2966283B1 (en) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa METHOD FOR PRODUCING A COLLAGE STRUCTURE
US9337116B2 (en) 2010-10-28 2016-05-10 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interposer for stacking and electrically connecting semiconductor die
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8525318B1 (en) 2010-11-10 2013-09-03 Amkor Technology, Inc. Semiconductor device and fabricating method thereof
US9386688B2 (en) 2010-11-12 2016-07-05 Freescale Semiconductor, Inc. Integrated antenna package
US8569090B2 (en) * 2010-12-03 2013-10-29 Babak Taheri Wafer level structures and methods for fabricating and packaging MEMS
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8502340B2 (en) 2010-12-09 2013-08-06 Tessera, Inc. High density three-dimensional integrated capacitors
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8575493B1 (en) 2011-02-24 2013-11-05 Maxim Integrated Products, Inc. Integrated circuit device having extended under ball metallization
US8847337B2 (en) 2011-02-25 2014-09-30 Evigia Systems, Inc. Processes and mounting fixtures for fabricating electromechanical devices and devices formed therewith
US9018094B2 (en) 2011-03-07 2015-04-28 Invensas Corporation Substrates with through vias with conductive features for connection to integrated circuit elements, and methods for forming through vias in substrates
US8395229B2 (en) 2011-03-11 2013-03-12 Institut National D'optique MEMS-based getter microdevice
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP2514713B1 (en) 2011-04-20 2013-10-02 Tronics Microsystems S.A. A micro-electromechanical system (MEMS) device
JP2012231096A (en) 2011-04-27 2012-11-22 Elpida Memory Inc Semiconductor device and manufacturing method of the same
JP2012256846A (en) 2011-05-16 2012-12-27 Elpida Memory Inc Manufacturing method of semiconductor device
KR102574526B1 (en) 2011-05-24 2023-09-07 소니그룹주식회사 Semiconductor device
JP5968068B2 (en) 2011-05-24 2016-08-10 キヤノン株式会社 Imaging apparatus for controlling exposure, control method for imaging apparatus, program, and recording medium
WO2012169162A1 (en) 2011-06-06 2012-12-13 住友ベークライト株式会社 Reinforcing member, semiconductor package, semiconductor device, and fabrication method for semiconductor package
US8409923B2 (en) * 2011-06-15 2013-04-02 Stats Chippac Ltd. Integrated circuit packaging system with underfill and method of manufacture thereof
US8692365B2 (en) 2011-06-17 2014-04-08 Stats Chippac Ltd. Integrated circuit packaging system with thermal dispersal structures and method of manufacture thereof
US9540230B2 (en) 2011-06-27 2017-01-10 Invensense, Inc. Methods for CMOS-MEMS integrated devices with multiple sealed cavities maintained at various pressures
JP5982748B2 (en) 2011-08-01 2016-08-31 ソニー株式会社 SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE
US8497558B2 (en) 2011-07-14 2013-07-30 Infineon Technologies Ag System and method for wafer level packaging
US9125333B2 (en) 2011-07-15 2015-09-01 Tessera, Inc. Electrical barrier layers
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
EP2555239A3 (en) 2011-08-04 2013-06-05 Sony Mobile Communications AB Thermal package with heat slug for die stacks
TWI492680B (en) 2011-08-05 2015-07-11 Unimicron Technology Corp Package substrate having embedded interposer and fabrication method thereof
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US8816404B2 (en) 2011-09-16 2014-08-26 Stats Chippac, Ltd. Semiconductor device and method of forming stacked semiconductor die and conductive interconnect structure through an encapsulant
US20130082383A1 (en) 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
KR101932665B1 (en) 2011-10-10 2018-12-27 삼성전자 주식회사 Semiconductor package
US9287191B2 (en) 2011-10-12 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device package and method
KR20130042936A (en) 2011-10-19 2013-04-29 에스케이하이닉스 주식회사 Chip carrier, semiconductor chip and semiconductor package using the same, and method of fabricating those
TWI426572B (en) 2011-10-20 2014-02-11 Ind Tech Res Inst Structure and process for microelectromechanical system-based sensor
WO2013062533A1 (en) 2011-10-25 2013-05-02 Intel Corporation Interposer for hermetic sealing of sensor chips and for their integration with integrated circuit chips
US9269646B2 (en) 2011-11-14 2016-02-23 Micron Technology, Inc. Semiconductor die assemblies with enhanced thermal management and semiconductor devices including same
US8518753B2 (en) 2011-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Assembly method for three dimensional integrated circuit
US9627357B2 (en) 2011-12-02 2017-04-18 Intel Corporation Stacked memory allowing variance in device interconnects
US8975711B2 (en) 2011-12-08 2015-03-10 Infineon Technologies Ag Device including two power semiconductor chips and manufacturing thereof
US9548251B2 (en) 2012-01-12 2017-01-17 Broadcom Corporation Semiconductor interposer having a cavity for intra-interposer die
US9139423B2 (en) 2012-01-19 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electro mechanical system structures
US8686570B2 (en) 2012-01-20 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-dimensional integrated circuit structures and methods of forming the same
JP2013183120A (en) 2012-03-05 2013-09-12 Elpida Memory Inc Semiconductor device
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
CN102610758B (en) * 2012-03-19 2014-06-04 中国科学院上海技术物理研究所 Ferroelectric tunnel junction room-temperature infrared detector and preparation method
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
CN103377911B (en) 2012-04-16 2016-09-21 中国科学院微电子研究所 The method improving CMP process uniformity
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
DE102012206732A1 (en) 2012-04-24 2013-10-24 Robert Bosch Gmbh Method for producing a hybrid integrated component
FR2990314B1 (en) * 2012-05-03 2014-06-06 Commissariat Energie Atomique MICROELECTRONIC DEVICE FOR WIRELESS TRANSMISSION
IN2014DN09649A (en) 2012-05-18 2015-07-31 Panasonic Ip Man Co Ltd
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8530997B1 (en) 2012-07-31 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Double seal ring
US9502390B2 (en) 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US8872349B2 (en) 2012-09-11 2014-10-28 Intel Corporation Bridge interconnect with air gap in package assembly
US20140091461A1 (en) 2012-09-30 2014-04-03 Yuci Shen Die cap for use with flip chip package
US20140130595A1 (en) 2012-11-12 2014-05-15 Memsic, Inc. Monolithic sensor package
US9136159B2 (en) 2012-11-15 2015-09-15 Amkor Technology, Inc. Method and system for a semiconductor for device package with a die-to-packaging substrate first bond
US9040349B2 (en) 2012-11-15 2015-05-26 Amkor Technology, Inc. Method and system for a semiconductor device package with a die to interposer wafer first bond
US9511994B2 (en) 2012-11-28 2016-12-06 Invensense, Inc. Aluminum nitride (AlN) devices with infrared absorption structural layer
DE102012224310A1 (en) 2012-12-21 2014-06-26 Tesa Se Gettermaterial containing adhesive tape
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8716351B1 (en) 2012-12-23 2014-05-06 Liveleaf, Inc. Methods of treating gastrointestinal spasms
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US8564076B1 (en) 2013-01-30 2013-10-22 Invensense, Inc. Internal electrical contact for enclosed MEMS devices
US9452920B2 (en) 2013-01-30 2016-09-27 Invensense, Inc. Microelectromechanical system device with internal direct electric coupling
US9287188B2 (en) 2013-02-05 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a seal ring structure
TWI518991B (en) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US9257355B2 (en) 2013-02-11 2016-02-09 The Charles Stark Draper Laboratory, Inc. Method for embedding a chipset having an intermediary interposer in high density electronic modules
US20140225206A1 (en) 2013-02-11 2014-08-14 Yizhen Lin Pressure level adjustment in a cavity of a semiconductor die
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US20140246227A1 (en) 2013-03-01 2014-09-04 Bridge Semiconductor Corporation Method of making cavity substrate with built-in stiffener and cavity substrate manufactured thereby
US9704809B2 (en) 2013-03-05 2017-07-11 Maxim Integrated Products, Inc. Fan-out and heterogeneous packaging of electronic components
US9111930B2 (en) 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package on-package with cavity in interposer
US9469527B2 (en) 2013-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS pressure sensor and microphone devices having through-vias and methods of forming same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
KR102041265B1 (en) * 2013-05-02 2019-11-27 삼성전자주식회사 Semiconductor Package Having a EMI shielding and heat dissipation function
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9136233B2 (en) * 2013-06-06 2015-09-15 STMicroelctronis (Crolles 2) SAS Process for fabricating a three-dimensional integrated structure with improved heat dissipation, and corresponding three-dimensional integrated structure
JP6110734B2 (en) 2013-06-06 2017-04-05 ルネサスエレクトロニクス株式会社 Semiconductor device
EP2813465B1 (en) 2013-06-12 2020-01-15 Tronic's Microsystems MEMS device with getter layer
US9685414B2 (en) 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
CN104249991B (en) 2013-06-26 2016-08-10 中芯国际集成电路制造(上海)有限公司 MEMS and preparation method thereof
WO2015042700A1 (en) 2013-09-24 2015-04-02 Motion Engine Inc. Mems components and method of wafer-level manufacturing thereof
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9035451B2 (en) 2013-09-30 2015-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer level sealing methods with different vacuum levels for MEMS sensors
US9617150B2 (en) 2013-10-09 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Micro-electro mechanical system (MEMS) device having a blocking layer formed between closed chamber and a dielectric layer of a CMOS substrate
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
KR20150058940A (en) * 2013-11-21 2015-05-29 삼성전자주식회사 Semiconductor package having heat spreader
US9379074B2 (en) 2013-11-22 2016-06-28 Invensas Corporation Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
JP2015115446A (en) 2013-12-11 2015-06-22 株式会社東芝 Semiconductor device manufacturing method
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9368479B2 (en) 2014-03-07 2016-06-14 Invensas Corporation Thermal vias disposed in a substrate proximate to a well thereof
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US9165793B1 (en) 2014-05-02 2015-10-20 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US9524883B2 (en) 2014-05-13 2016-12-20 Invensas Corporation Holding of interposers and other microelectronic workpieces in position during assembly and other processing
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US9252127B1 (en) 2014-07-10 2016-02-02 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
KR102275705B1 (en) 2014-07-11 2021-07-09 삼성전자주식회사 Wafer-to-wafer bonding structure
FR3023974B1 (en) 2014-07-18 2016-07-22 Ulis METHOD FOR MANUFACTURING A DEVICE COMPRISING A VACUUM HERMETIC CASE AND A GETTER
US9620464B2 (en) 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US9331043B1 (en) 2015-01-30 2016-05-03 Invensas Corporation Localized sealing of interconnect structures in small gaps
US9738516B2 (en) 2015-04-29 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure to reduce backside silicon damage
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9650241B2 (en) 2015-09-17 2017-05-16 Invensense, Inc. Method for providing a MEMS device with a plurality of sealed enclosures having uneven standoff structures and MEMS device thereof
TW201737362A (en) 2015-12-08 2017-10-16 天工方案公司 Transient liquid phase material bonding and sealing structures and methods of forming same
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10273141B2 (en) 2016-04-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rough layer for better anti-stiction deposition
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (en) 2016-06-09 2023-03-03 삼성전자 주식회사 wafer-to-wafer bonding structure
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10062656B2 (en) 2016-08-15 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Composite bond structure in stacked semiconductor structure
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US9834435B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
CN117878055A (en) 2016-12-28 2024-04-12 艾德亚半导体接合科技有限公司 Treatment of stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (en) 2017-03-22 2020-02-05 キオクシア株式会社 Semiconductor device manufacturing method and semiconductor device
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11235969B2 (en) 2018-10-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS-MEMS integration with through-chip via process
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100230797A1 (en) * 2003-02-03 2010-09-16 Hirokazu Honda Warp-suppressed semiconductor device
US20100084761A1 (en) * 2008-10-06 2010-04-08 Masatoshi Shinagawa Semiconductor device and fabrication method of the same
US20110080713A1 (en) * 2009-10-06 2011-04-07 Shinko Electric Industries Co., Ltd. Interposer mounted wiring board and electronic component device
US20120101540A1 (en) * 2010-10-26 2012-04-26 Medtronic, Inc. Wafer-scale package including power source
US20130082399A1 (en) * 2011-10-04 2013-04-04 Won-keun Kim Semiconductor package and method of manufacturing the same
US20140134803A1 (en) * 2012-11-15 2014-05-15 Michael G. Kelly Method And System For A Semiconductor Device Package With A Die-To-Die First Bond

Cited By (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9691696B2 (en) 2014-03-12 2017-06-27 Invensas Corporation Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication
US9324626B2 (en) 2014-03-12 2016-04-26 Invensas Corporation Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication
US10446456B2 (en) 2014-03-12 2019-10-15 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US11205600B2 (en) 2014-03-12 2021-12-21 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9899281B2 (en) 2014-03-12 2018-02-20 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9887166B2 (en) 2014-03-12 2018-02-06 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20160190034A1 (en) * 2014-03-31 2016-06-30 Fuji Electric Co., Ltd. Power conversion device
US9875952B2 (en) * 2014-03-31 2018-01-23 Fuji Electric Co., Ltd. Power conversion device
US9831302B2 (en) * 2014-05-02 2017-11-28 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US20160049361A1 (en) * 2014-05-02 2016-02-18 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US10431648B2 (en) 2014-05-02 2019-10-01 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US20170077076A1 (en) * 2014-05-02 2017-03-16 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US10204977B2 (en) 2014-05-02 2019-02-12 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US9508638B2 (en) * 2014-05-02 2016-11-29 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US11302616B2 (en) 2014-06-04 2022-04-12 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
US10256177B2 (en) 2014-06-04 2019-04-09 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
US9536862B2 (en) 2014-07-10 2017-01-03 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
US11049796B2 (en) 2014-11-21 2021-06-29 Delta Electronics, Inc. Manufacturing method of packaging device
US20160148855A1 (en) * 2014-11-21 2016-05-26 Delta Electronics, Inc. Packaging device and manufacturing method thereof
US10685904B2 (en) * 2014-11-21 2020-06-16 Delta Electronics, Inc. Packaging device and manufacturing method thereof
US20190326260A1 (en) * 2015-02-19 2019-10-24 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US10916527B2 (en) 2015-02-19 2021-02-09 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US10541229B2 (en) * 2015-02-19 2020-01-21 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US10770435B2 (en) * 2015-02-19 2020-09-08 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US10461061B2 (en) * 2015-02-19 2019-10-29 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US20160247742A1 (en) * 2015-02-19 2016-08-25 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US20190172817A1 (en) * 2015-02-19 2019-06-06 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US10217724B2 (en) * 2015-03-30 2019-02-26 Mediatek Inc. Semiconductor package assembly with embedded IPD
US20160293581A1 (en) * 2015-03-30 2016-10-06 Mediatek Inc. Semiconductor package assembly with embedded ipd
US10410977B2 (en) 2015-04-14 2019-09-10 Invensas Corporation High performance compliant substrate
US9666514B2 (en) * 2015-04-14 2017-05-30 Invensas Corporation High performance compliant substrate
US11728292B2 (en) 2015-05-05 2023-08-15 Mediatek Inc. Semiconductor package assembly having a conductive electromagnetic shield layer
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9812406B2 (en) 2015-06-19 2017-11-07 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US10256213B2 (en) 2015-12-10 2019-04-09 Intel Corporation Reduced-height electronic memory system and method
WO2017099931A1 (en) * 2015-12-10 2017-06-15 Intel Corporation Reduced-height memory system and method
EP3240027A1 (en) * 2016-04-25 2017-11-01 Technische Hochschule Ingolstadt Semiconductor package
WO2017186627A1 (en) * 2016-04-25 2017-11-02 Technische Hochschule Ingolstadt Semiconductor package
US10692796B2 (en) * 2016-04-25 2020-06-23 Technische Hochschule Ingolstadt Semiconductor package having stacked substrates with cavities
US20190164865A1 (en) * 2016-04-25 2019-05-30 Technische Hochschule Ingolstadt Semiconductor Package
US11152336B2 (en) 2016-10-07 2021-10-19 Xcelsis Corporation 3D processor having stacked integrated circuit die
US11289333B2 (en) 2016-10-07 2022-03-29 Xcelsis Corporation Direct-bonded native interconnects and active base die
US11557516B2 (en) 2016-10-07 2023-01-17 Adeia Semiconductor Inc. 3D chip with shared clock distribution network
US20200194262A1 (en) * 2016-10-07 2020-06-18 Xcelsis Corporation Direct-bonded native interconnects and active base die
TWI737832B (en) * 2016-10-07 2021-09-01 美商塞爾席斯公司 Direct-bonded native interconnects and active base die
US10832912B2 (en) * 2016-10-07 2020-11-10 Xcelsis Corporation Direct-bonded native interconnects and active base die
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US10522352B2 (en) * 2016-10-07 2019-12-31 Xcelsis Corporation Direct-bonded native interconnects and active base die
US11823906B2 (en) * 2016-10-07 2023-11-21 Xcelsis Corporation Direct-bonded native interconnects and active base die
US11824042B2 (en) 2016-10-07 2023-11-21 Xcelsis Corporation 3D chip sharing data bus
US20220238339A1 (en) * 2016-10-07 2022-07-28 Xcelsis Corporation Direct-Bonded Native Interconnects And Active Base Die
US9922845B1 (en) * 2016-11-03 2018-03-20 Micron Technology, Inc. Semiconductor package and fabrication method thereof
US10916524B2 (en) * 2016-12-29 2021-02-09 Intel Corporation Stacked dice systems
US11790219B2 (en) 2017-08-03 2023-10-17 Adeia Semiconductor Inc. Three dimensional circuit implementing machine trained network
US11569198B2 (en) * 2018-01-03 2023-01-31 Intel Corporation Stacked semiconductor die architecture with multiple layers of disaggregation
WO2019149778A1 (en) * 2018-01-31 2019-08-08 Tdk Electronics Ag Electronic component
US11462476B2 (en) 2018-01-31 2022-10-04 Tdk Electronics Ag Electronic device
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
US11302683B2 (en) * 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US20230141270A1 (en) * 2021-11-09 2023-05-11 Samsung Electro-Mechanics Co., Ltd. Printed circuit board
US11903129B2 (en) * 2021-11-09 2024-02-13 Samsung Electro-Mechanics Co., Ltd. Printed circuit board
CN116230556A (en) * 2023-05-06 2023-06-06 芯盟科技有限公司 Chip carrier, forming method thereof, wafer bonding structure and forming method thereof

Also Published As

Publication number Publication date
US20170040237A1 (en) 2017-02-09
US20200043817A1 (en) 2020-02-06
US9691696B2 (en) 2017-06-27
US9324626B2 (en) 2016-04-26
KR20160132093A (en) 2016-11-16
TWI573223B (en) 2017-03-01
TW201630147A (en) 2016-08-16
TWI685079B (en) 2020-02-11
WO2015138393A1 (en) 2015-09-17
US20160155695A1 (en) 2016-06-02
US9899281B2 (en) 2018-02-20
US11205600B2 (en) 2021-12-21
US20150262928A1 (en) 2015-09-17
US10446456B2 (en) 2019-10-15
TW201535603A (en) 2015-09-16
US20180130717A1 (en) 2018-05-10

Similar Documents

Publication Publication Date Title
US11205600B2 (en) Integrated circuits protected by substrates with cavities, and methods of manufacture
US9887166B2 (en) Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US9824974B2 (en) Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
US9812406B2 (en) Microelectronic assemblies with cavities, and methods of fabrication
US9536862B2 (en) Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
TWI546915B (en) Circuit assemblies with multiple interposer substrates, and methods of fabrication
TWI662667B (en) Package structure and manufacturing method thereof
JP2017022398A (en) Window interposed die packaging
TW201822311A (en) Method of manufacturing a package structure for heat dissipation
TW201906025A (en) Heat dissipation method
US9799626B2 (en) Semiconductor packages and other circuit modules with porous and non-porous stabilizing layers
TW202247365A (en) Semiconductor package
CN112385036A (en) Molded direct bond and interconnect stack

Legal Events

Date Code Title Description
AS Assignment

Owner name: INVENSAS CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHEN, HONG;WOYCHIK, CHARLES G.;ARKALGUD, SITARAM R.;REEL/FRAME:032447/0512

Effective date: 20140314

AS Assignment

Owner name: ROYAL BANK OF CANADA, AS COLLATERAL AGENT, CANADA

Free format text: SECURITY INTEREST;ASSIGNORS:INVENSAS CORPORATION;TESSERA, INC.;TESSERA ADVANCED TECHNOLOGIES, INC.;AND OTHERS;REEL/FRAME:040797/0001

Effective date: 20161201

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: TESSERA ADVANCED TECHNOLOGIES, INC, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601

Owner name: INVENSAS BONDING TECHNOLOGIES, INC. (F/K/A ZIPTRONIX, INC.), CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601

Owner name: TESSERA, INC., CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601

Owner name: DTS, INC., CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601

Owner name: FOTONATION CORPORATION (F/K/A DIGITALOPTICS CORPORATION AND F/K/A DIGITALOPTICS CORPORATION MEMS), CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601

Owner name: INVENSAS CORPORATION, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601

Owner name: DTS LLC, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601

Owner name: IBIQUITY DIGITAL CORPORATION, MARYLAND

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601

Owner name: PHORUS, INC., CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:ROYAL BANK OF CANADA;REEL/FRAME:052920/0001

Effective date: 20200601