US20150247236A1 - Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces - Google Patents

Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces Download PDF

Info

Publication number
US20150247236A1
US20150247236A1 US14/699,830 US201514699830A US2015247236A1 US 20150247236 A1 US20150247236 A1 US 20150247236A1 US 201514699830 A US201514699830 A US 201514699830A US 2015247236 A1 US2015247236 A1 US 2015247236A1
Authority
US
United States
Prior art keywords
molecules
workpiece
gas
depositing
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/699,830
Inventor
Ross S. Dando
F. Daniel Gealy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US14/699,830 priority Critical patent/US20150247236A1/en
Publication of US20150247236A1 publication Critical patent/US20150247236A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Definitions

  • the present invention is related to methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces. More particularly, the present invention is related to methods for irradiating a portion of a microfeature workpiece to desorb or activate molecules in that portion of the workpiece.
  • Thin film deposition techniques are widely used in the manufacturing of microfeatures to form a coating on a workpiece that closely conforms to the surface topography.
  • the size of the individual components in the workpiece is constantly decreasing, and the number of layers in the workpiece is increasing.
  • both the density of components and the aspect ratios of depressions i.e., the ratio of the depth to the size of the opening
  • Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • CVD Chemical Vapor Deposition
  • one or more precursors capable of reacting to form a solid thin film are mixed while in a gaseous or vaporous state, and then the precursor mixture is presented to the surface of the workpiece.
  • the surface of the workpiece catalyzes the reaction between the precursors to form a solid thin film at the workpiece surface.
  • a common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials already formed on the workpiece. Implanted or doped materials, for example, can migrate within the silicon substrate at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the substrate. This is undesirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.
  • FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes.
  • a layer of gas molecules A coats the surface of a workpiece W.
  • the layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules and then purging the chamber with a purge gas to remove excess A molecules.
  • This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures.
  • the layer of A molecules is then exposed to another precursor gas containing B molecules.
  • the A molecules react with the B molecules to form an extremely thin layer of solid material on the workpiece W.
  • the chamber is then purged again with a purge gas to remove excess B molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques.
  • a typical cycle includes (a) exposing the workpiece to the first precursor A, (b) purging excess A molecules, (c) exposing the workpiece to the second precursor B, and then (d) purging excess B molecules.
  • several cycles are repeated to build a thin film on a workpiece having the desired thickness.
  • each cycle may form a layer having a thickness of approximately 0.5-1.0 ⁇ , and thus several cycles are required to form a solid layer having a thickness of approximately 60 ⁇ .
  • ALD processing has a relatively low throughput compared to CVD techniques. For example, each A-purge-B-purge cycle can take several seconds. This results in a total process time of several minutes to form a single thin layer of only 60 ⁇ . In contrast to ALD processing, CVD techniques require only about one minute to form a 60 ⁇ thick layer. The low throughput limits the utility of the ALD technology in its current state because ALD may create a bottleneck in the overall manufacturing process.
  • FIG. 3 schematically illustrates a single-wafer CVD/ALD reactor 10 having a reaction chamber 20 coupled to a gas supply 30 and a vacuum pump 40 .
  • the reactor 10 also includes a gas dispenser 60 and a heater 50 for supporting the workpiece W in the reaction chamber 20 .
  • the gas dispenser 60 includes a plenum 62 operably coupled to the gas supply 30 and a distributor plate 64 having a plurality of holes 66 .
  • the heater 50 heats the workpiece W to a desired temperature
  • the gas supply 30 selectively injects the precursors as described above.
  • the vacuum pump 40 maintains a negative pressure in the reaction chamber 20 to draw the gases from the gas dispenser 60 across the workpiece W and then through an outlet of the chamber 20 .
  • the reaction chamber 20 may further include a laser 70 configured to generate a laser beam 72 for activating at least one of the precursors.
  • the laser 70 produces the laser beam 72 along a beam path generally parallel to the workpiece W, with the laser beam 72 positioned between the gas dispenser 60 and the workpiece W to selectively activate a precursor(s) before the precursor(s) is deposited onto the workpiece W.
  • the activated precursor(s) subsequently reacts with other precursors on the surface of the workpiece W to form a solid thin film.
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using ALD techniques in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reaction chamber for depositing materials onto a microfeature workpiece in accordance with the prior art.
  • FIG. 4 is a schematic representation of a system for depositing materials onto a microfeature workpiece in accordance with one embodiment of the invention.
  • FIGS. 5A-5C illustrate stages in an ALD process in which a laser desorbs material from a workpiece in accordance with another embodiment of the invention.
  • FIG. 5A is a schematic side cross-sectional view of a portion of the workpiece after depositing a layer of first molecules onto a surface of the workpiece.
  • FIG. 5B is a schematic side cross-sectional view of the workpiece after desorbing a selected portion of the first molecules.
  • FIG. 5C is a schematic side cross-sectional view of the workpiece after depositing a layer of second molecules onto the workpiece.
  • FIGS. 6A-6D illustrate stages in a CVD process in which the laser desorbs material from a workpiece in accordance with another embodiment of the invention.
  • FIG. 6A is a schematic side cross-sectional view of a portion of the workpiece after depositing a layer of first molecules onto a surface of the workpiece.
  • FIG. 6B is a schematic side cross-sectional view of the workpiece after with the laser desorbing selected first molecules from a portion of the workpiece.
  • FIG. 6C is a schematic side cross-sectional view of the workpiece after depositing second molecules onto the workpiece.
  • FIG. 6D is a schematic side cross-sectional view of the workpiece after desorbing a selected portion of the second molecules.
  • FIGS. 7A-7C illustrate stages in an ALD process in which the laser activates molecules on a workpiece in accordance with another embodiment of the invention.
  • FIG. 7A is a schematic side cross-sectional view of a portion of the workpiece after depositing a layer of first molecules onto the workpiece.
  • FIG. 7B is a schematic side cross-sectional view of the workpiece after depositing a plurality of second molecules onto the workpiece.
  • FIG. 7C a schematic side cross-sectional view of the workpiece after removing the nonreacted second molecules from the workpiece.
  • FIG. 8 is a schematic representation of a system for depositing materials onto a microfeature workpiece in accordance with another embodiment of the invention.
  • FIG. 9 is a schematic representation of a system for depositing materials onto a microfeature workpiece in accordance with another embodiment of the invention.
  • microfeature workpiece is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other features are fabricated.
  • microfeature workpieces can be semiconductor wafers such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials.
  • gas is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature).
  • vapors i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature.
  • a method includes depositing molecules of a gas onto a microfeature workpiece in the reaction chamber and selectively irradiating a first portion of the molecules on the microfeature workpiece in the reaction chamber with a selected radiation without irradiating a second portion of the molecules on the workpiece with the selected radiation.
  • the first portion of the molecules can be irradiated to activate the molecules or desorb the molecules from the workpiece.
  • the first portion of the molecules can be selectively irradiated by impinging the molecules with a laser beam or another energy source.
  • a method in another embodiment, includes depositing first molecules of a first gas onto the microfeature workpiece in the reaction chamber, directing a laser beam toward a first portion of the first molecules to desorb the first portion of the first molecules without desorbing a second portion of the first molecules, and depositing second molecules of a second gas onto the second portion of the first molecules.
  • the first and second gases can have generally the same or different compositions.
  • the method can further include directing the laser beam toward a first portion of the second molecules to desorb the first portion of the second molecules without directing the laser beam toward a second portion of the second molecules.
  • a method in another embodiment, includes depositing first molecules of a first gas onto the microfeature workpiece in the reaction chamber, directing a laser beam toward a selected portion of the first molecules to activate the selected portion of the first molecules to react with second molecules of a second gas, and depositing the second molecules of the second gas onto the selected portion of the first molecules.
  • the first and second gases can have the same or different compositions.
  • the method can further include purging excess first gas from the reaction chamber before depositing molecules of the second gas.
  • a system includes a gas supply assembly having a gas source, a gas phase reaction chamber for carrying the microfeature workpiece, a gas distributor carried by the reaction chamber and coupled to the gas supply assembly, an energy source positioned to selectively irradiate portions of the microfeature workpiece, and a controller operably coupled to the energy source and the gas supply assembly.
  • the controller has a computer-readable medium containing instructions to perform one of the above-mentioned methods.
  • FIG. 4 is a schematic representation of a system 100 for depositing materials onto a microfeature workpiece W in accordance with one embodiment of the invention.
  • the system 100 includes a reactor 110 having a reaction chamber 120 coupled to a gas supply 130 and a vacuum pump 140 .
  • the reactor 110 also includes a gas distributor 160 coupled to the gas supply 130 to dispense gas(es) into the reaction chamber 120 and onto the workpiece W.
  • Byproducts including excess and/or unreacted gas molecules are removed from the reaction chamber 120 by the vacuum pump 140 and/or by injecting a purge gas into the chamber 120 .
  • the gas supply 130 includes a plurality of gas sources 132 (shown schematically and identified individually as 132 a - c ) and a plurality of gas lines 136 coupled to corresponding gas sources 132 .
  • the gas sources 132 can include a first gas source 132 a for providing a first gas, a second gas source 132 b for providing a second gas, and a third gas source 132 c for providing a third gas.
  • the first and second gases can be first and second precursors, respectively.
  • the third gas can be a purge gas.
  • the first and second precursors are the gas and/or vapor phase constituents that react to form the thin, solid layer on the workpiece W.
  • the purge gas can be a suitable type of gas that is compatible with the reaction chamber 120 and the workpiece W.
  • the gas supply 130 can include a different number of gas sources 132 for applications that require additional precursors or purge gases.
  • the system 100 of the illustrated embodiment further includes a valve assembly 133 (shown schematically) coupled to the gas lines 136 and a controller 134 (shown schematically) operably coupled to the valve assembly 133 .
  • the controller 134 generates signals to operate the valve assembly 133 to control the flow of gases into the reaction chamber 120 for ALD and CVD applications.
  • the controller 134 can be programmed to operate the valve assembly 133 to pulse the gases individually through the gas distributor 160 in ALD applications or to mix selected precursors in the gas distributor 160 in CVD applications. More specifically, in one embodiment of an ALD process, the controller 134 directs the valve assembly 133 to dispense a pulse of the first gas (e.g., the first precursor) into the reaction chamber 120 .
  • the first gas e.g., the first precursor
  • the controller 134 directs the valve assembly 133 to dispense a pulse of the third gas (e.g., the purge gas) to purge excess molecules of the first gas from the reaction chamber 120 .
  • the controller 134 then directs the valve assembly 133 to dispense a pulse of the second gas (e.g., the second precursor), followed by a pulse of the third gas.
  • the controller 134 directs the valve assembly 133 to dispense a pulse of the first and second gases (e.g., the first and second precursors) into the reaction chamber 120 .
  • the controller 134 directs the valve assembly 133 to dispense a pulse of the third gas (e.g., the purge gas) into the reaction chamber 120 .
  • the controller 134 can dispense the gases in other sequences.
  • the reactor 110 also includes a workpiece support 150 to hold the workpiece W in the reaction chamber 120 .
  • the workpiece support 150 can be heated to bring the workpiece W to a desired temperature for catalyzing the reaction between the first gas and the second gas at the surface of the workpiece W.
  • the workpiece support 150 can be a plate with a heating element. The workpiece support 150 , however, may not be heated in other applications.
  • the illustrated reaction chamber 120 further includes a laser 170 (shown schematically) operably coupled to the controller 134 for producing a laser beam 172 to irradiate selected portions of the workpiece W.
  • the laser beam 172 provides sufficient localized energy to desorb or activate the irradiated molecules on the workpiece W.
  • the laser 170 can direct the laser beam 172 toward a selected portion of the material to desorb or activate the material, as described in greater detail below.
  • the power required for desorption can be on the order of 1e6 W/cm 2 .
  • the laser 170 can be a stand-alone laser system; and in other embodiments, the laser 170 can include one or more laser diodes.
  • suitable laser diodes include a 600 W QCW Laser Diode Array, part number ARR48P600, manufactured by Cutting Edge Optronics in St. Charles, Mo.
  • the reaction chamber 120 may include an energy source in lieu of a laser to heat a localized portion of the workpiece W for desorbing or activating selected molecules.
  • the reactor 110 may further include a positioning device 180 (shown schematically) coupled to the laser 170 and operably coupled to the controller 134 for moving the laser 170 and aligning the laser beam 172 with the selected portion of the workpiece W.
  • the positioning device 180 can move the laser 170 from a stowed position (shown in hidden lines) to a deployed position (shown in solid lines) for irradiating the selected portion of the workpiece W. In the stowed position, the laser 170 and the positioning device 180 are arranged so as not to interfere with the flow of gases from the gas distributor 160 to the workpiece W.
  • the positioning device 180 can be configured to move the laser 170 side to side (e.g., X direction) and forward and backward (e.g., Y direction) to align the laser beam 170 with the selected portion of the workpiece W.
  • the positioning device 180 may also be able to move the laser 170 upward and downward (e.g., Z direction).
  • the positioning device 180 can accordingly have an articulating arm, a telescoping arm, or other type of structure to support the laser 170 over the workpiece W.
  • the positioning device 180 can further include an actuator to move the arm.
  • the reactor may not include a positioning device coupled to the laser.
  • FIGS. 5A-5C illustrate stages in an ALD process in which the laser 170 desorbs material from the workpiece W in accordance with one embodiment of the invention.
  • FIG. 5A is a schematic side cross-sectional view of a portion of the workpiece W after dispensing a pulse of a first gas into the reaction chamber 120 ( FIG. 4 ) and depositing a layer of first molecules 192 from the first gas onto a surface 190 of the workpiece W.
  • FIG. 5B is a schematic side cross-sectional view of the workpiece W with the laser beam 172 impinging a selected portion P 1 of the workpiece W.
  • the positioning device 180 aligns the laser 170 with the selected portion P 1 of the workpiece W and the laser 170 directs the laser beam 172 toward selected first molecules 192 a .
  • the power, wavelength, and other laser beam parameters are selected based on the chemistry of the first molecules 192 so that the energy from the laser beam 172 breaks the bonds securing the selected first molecules 192 a to the surface 190 and, consequently, desorbs the selected first molecules 192 a from the workpiece W.
  • the laser beam 172 impinges the selected first molecules 192 a without impinging a plurality of nonselected first molecules 192 b . Consequently, the nonselected first molecules 192 b remain physisorbed and/or chemisorbed to the surface 190 of the workpiece W.
  • a purge gas can be dispensed into the reaction chamber 120 ( FIG. 4 ) to remove the desorbed first molecules 192 a and the excess first gas molecules from the chamber 120 .
  • the purge gas can be dispensed into the reaction chamber 120 while the portion P 1 of the workpiece W is irradiated.
  • the desorbed first molecules 192 a can be removed from the reaction chamber 120 without injecting a purge gas by drawing the molecules 192 a from the chamber 120 with the vacuum pump 140 ( FIG. 4 ).
  • the desorbed first molecules 192 a can be removed from the reaction chamber 120 as a second gas is subsequently injected into the chamber 120 and deposited onto the workpiece W.
  • FIG. 5C is a schematic side cross-sectional view of the workpiece W after dispensing a pulse of a second gas into the reaction chamber 120 ( FIG. 4 ) and depositing a layer of second molecules 194 from the second gas onto the workpiece W.
  • the second molecules 194 react with the first molecules 192 b to form a discrete film 195 a on the workpiece W.
  • the first and second gases can have the same or different compositions.
  • the composition of the second molecules 194 can be chosen such that the second molecules 194 adhere to the nonirradiated first molecules 192 b but do not adhere to the exposed portion P 1 of the surface 190 .
  • gases for such an embodiment include TMA for the first gas and O 3 for the second gas, although other gases can be used.
  • the second molecules 194 can adhere to the exposed portion P 1 of the surface 190 in addition to the nonirradiated first molecules 192 b . If some of the second molecules 194 adhere to the exposed portion P 1 of the surface 190 , the laser 170 ( FIG. 4 ) can optionally irradiate and desorb these molecules. In either case, after depositing the second molecules 194 onto the workpiece W, the reaction chamber 120 can be purged and the process can be repeated to build additional layers (shown in hidden lines as 195 b and 195 c ) on the workpiece W.
  • the laser 170 can irradiate the selected portion P 1 of the workpiece W only after the second molecules 194 have been deposited onto the workpiece W.
  • the first molecules 192 are deposited across the workpiece W, and then the reaction chamber 120 can be optionally purged.
  • the second molecules 194 are deposited across the workpiece W, and then the laser 170 irradiates the selected portion P 1 of the workpiece W to desorb the selected first and second molecules.
  • One advantage of the method illustrated in FIGS. 5A-5C is the ability to form features 199 , such as conductive lines, on the workpiece W during an ALD process. Forming features 199 on the workpiece W during the deposition process simplifies and reduces the number of subsequent production steps required to construct devices on the workpiece W. For example, by forming the features 199 on the illustrated workpiece W during an ALD process, post-deposition processing, including masking, etching, depositing material, and planarizing, may be reduced and/or eliminated.
  • FIGS. 6A-6D illustrate stages in a CVD process in which the laser 170 desorbs material from the workpiece W in accordance with another embodiment of the invention.
  • FIG. 6A is a schematic side cross-sectional view of a portion of the workpiece W after dispensing a pulse of one or more precursors into the reaction chamber 120 ( FIG. 4 ), mixing the precursors to form a gas, and depositing a layer of first molecules 292 from the gas onto the surface 190 of the workpiece W.
  • FIG. 6B is a schematic side cross-sectional view of the workpiece W with the laser 170 directing the laser beam 172 toward selected first molecules 292 a to desorb the molecules 292 a from a portion P 2 of the workpiece W.
  • the laser beam 172 does not impinge and desorb a plurality of nonselected molecules 292 b .
  • the selected first molecules 292 a can be removed from the reaction chamber 120 by dispensing a purge gas into the chamber 120 and/or drawing the desorbed molecules 292 a from the chamber 120 with the vacuum pump 140 ( FIG. 4 ).
  • the purge gas can be dispensed into the reaction chamber 120 while the portion P 2 of the workpiece W is irradiated.
  • FIG. 6C is a schematic side cross-sectional view of the workpiece W after dispensing another pulse of the precursors into the reaction chamber 120 ( FIG. 4 ), mixing the precursors to form the gas, and depositing a plurality of second molecules 294 of the gas onto the workpiece W.
  • the second molecules 294 are deposited onto the nonirradiated molecules 292 b and the exposed portion P 2 of the workpiece W.
  • the second molecules 294 proximate to the first molecules 292 b react with the first molecules 292 b to form a discrete film 295 a on the workpiece W.
  • FIG. 6D is a schematic side cross-sectional view of the workpiece W with the laser 170 directing the laser beam 172 toward selected second molecules 294 a to desorb the selected molecules 294 a from the portion P 2 of the workpiece W.
  • the process can be repeated to build additional layers (shown in hidden lines as 295 b and 295 c ) on the workpiece W.
  • the selected second molecules 294 a may not be desorbed from the workpiece W or may be desorbed during subsequent process steps.
  • more than one layer of molecules can be desorbed during a single irradiation cycle.
  • a layer of first molecules 292 can be deposited onto the workpiece W
  • a layer of second molecules 294 can be deposited onto the workpiece W
  • the laser beam 172 can desorb the selected first and second molecules 292 a and 294 a from the workpiece W.
  • FIGS. 7A-7C illustrate stages in an ALD process in which the laser 170 activates molecules on the workpiece W in accordance with another embodiment of the invention. More specifically, FIG. 7A is a schematic side cross-sectional view of a portion of the workpiece W after dispensing a pulse of a first gas into the reaction chamber 120 ( FIG. 4 ) and depositing a layer of first molecules 392 (shown as 392 a and 392 b ) from the first gas onto the surface 190 of the workpiece W. After depositing the first molecules 392 , the reaction chamber 120 can optionally be purged to remove excess molecules of the first gas.
  • the laser 170 moves across the workpiece W and directs the laser beam 172 toward selected first molecules 392 a on a portion P 3 of the workpiece W.
  • the power, wavelength, and other laser beam parameters are selected based on the chemistry of the first molecules 392 so that the energy from the laser beam 172 activates the selected first molecules 392 a such that the molecules 392 a are inclined to react with a subsequent gas. More specifically, the energy from the laser beam 172 breaks one or more of the bonds of the selected adsorbed molecules 392 a , which destabilizes the molecules 392 a such that the molecules 392 a are inclined to react with the next molecule in the ALD sequence. As the laser 170 moves across the workpiece W, the laser beam 172 activates the selected first molecules 392 a without exposing or activating a plurality of nonselected first molecules 392 b on the workpiece W.
  • FIG. 7B is a schematic side cross-sectional view of the workpiece W after dispensing a pulse of a second gas into the reaction chamber 120 ( FIG. 4 ) and depositing a layer of second molecules 394 (shown as 394 a and 394 b ) from the second gas onto the workpiece W.
  • the first and second gases can have the same or different compositions.
  • the second molecules 394 a proximate to the activated first molecules 392 a react with the activated molecules 392 a to form a discrete film 395 on the workpiece W.
  • the second molecules 394 b proximate to the nonactivated first molecules 392 b generally do not react with the nonactivated molecules 392 b.
  • FIG. 7C a schematic side cross-sectional view of the workpiece W after removing the nonreacted second molecules 394 b ( FIG. 7B ) from the workpiece W.
  • the nonreacted second molecules 394 b can be removed from the workpiece W and the reaction chamber 120 ( FIG. 4 ) by dispensing a purge gas into the chamber 120 and/or drawing the molecules 294 b from the chamber 120 with the vacuum pump 140 ( FIG. 4 ).
  • the nonactivated first molecules 392 b can also be removed from the workpiece W; however, in other embodiments, the nonactivated first molecules 392 b may not be removed from the workpiece W. In either case, the process can be repeated to build additional layers (shown in hidden lines as 395 b and 395 c ) and form a feature 399 on the workpiece W.
  • the laser 170 can irradiate the selected portion P 3 of the workpiece W after the second molecules 394 have been deposited onto the workpiece W.
  • a layer of first molecules 392 are deposited across the workpiece W, and then the reaction chamber 120 can be optionally purged.
  • a layer of second molecules 394 are deposited across the workpiece W, and then the laser 170 irradiates the selected portion P 3 of the workpiece W to activate the selected first and/or second molecules and catalyze the reaction between the selected molecules.
  • the methods described above with reference to FIGS. 7A-7C can also be used in a CVD process.
  • a layer of first molecules can be deposited onto a workpiece, and the laser can activate a selected portion of the first molecules.
  • a plurality of second molecules can be deposited onto and react with the activated first molecules.
  • the laser can irradiate the selected portion of the workpiece after a layer of second molecules have been deposited to catalyze the reaction between the selected first and second molecules.
  • FIG. 8 is a schematic representation of a system 400 for depositing materials onto a microfeature workpiece W in accordance with another embodiment of the invention.
  • the illustrated system 400 is generally similar to the system 100 described above with reference to FIG. 4 .
  • the illustrated system 400 includes a reactor 410 having a reaction chamber 420 coupled to the gas supply 130 and the vacuum pump 140 .
  • the illustrated reaction chamber 420 includes a laser 470 (shown schematically) for producing a laser beam 472 along a path, a reflector 478 positioned along the path of the laser beam 472 , and a positioning device 480 (shown schematically) for moving the reflector 478 relative to the workpiece W.
  • the laser 470 can be fixed relative to the workpiece W and configured to pivot about the Z axis.
  • the positioning device 480 can move the reflector 478 side to side (e.g., X direction) and forward and backward (e.g., Y direction) to reflect the laser beam 472 toward the selected portion of the workpiece W.
  • FIG. 9 is a schematic representation of a system 500 for depositing materials onto a microfeature workpiece W in accordance with another embodiment of the invention.
  • the illustrated system 500 is generally similar to the system 100 described above with reference to FIG. 4 .
  • the illustrated system 500 includes a reactor 510 having a reaction chamber 520 coupled to the gas supply 130 and the vacuum pump 140 .
  • the illustrated reaction chamber 520 includes a laser 570 (shown schematically) for generating a laser beam 572 (shown in hidden lines), a workpiece support 150 for carrying the workpiece W, and a positioning device 580 (shown schematically) attached to the workpiece support 150 for moving the workpiece W relative to the laser 570 .
  • the positioning device 580 can move the workpiece support 150 from a first position (shown in solid lines) in which the workpiece W is oriented for deposition to a second position (shown in broken lines) in which the workpiece W is oriented for irradiation.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces are disclosed herein. In one embodiment, a method includes depositing molecules of a gas onto a microfeature workpiece in the reaction chamber and selectively irradiating a first portion of the molecules on the microfeature workpiece in the reaction chamber with a selected radiation without irradiating a second portion of the molecules on the workpiece with the selected radiation. The first portion of the molecules can be irradiated to activate the portion of the molecules or desorb the portion of the molecules from the workpiece. The first portion of the molecules can be selectively irradiated by impinging the first portion of the molecules with a laser beam or other energy source.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. application Ser. No. 13/419,002 filed Mar. 13, 2012, now U.S. Pat. No. 9,023,436, which is a divisional of U.S. application Ser. No. 10/840,571 filed May 6, 2004, now U.S. Pat. No. 8,133,554, each of which is incorporated herein by reference in its entirety.
  • TECHNICAL FIELD
  • The present invention is related to methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces. More particularly, the present invention is related to methods for irradiating a portion of a microfeature workpiece to desorb or activate molecules in that portion of the workpiece.
  • BACKGROUND
  • Thin film deposition techniques are widely used in the manufacturing of microfeatures to form a coating on a workpiece that closely conforms to the surface topography. The size of the individual components in the workpiece is constantly decreasing, and the number of layers in the workpiece is increasing. As a result, both the density of components and the aspect ratios of depressions (i.e., the ratio of the depth to the size of the opening) are increasing. Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • One widely used thin film deposition technique is Chemical Vapor Deposition (CVD). In a CVD system, one or more precursors capable of reacting to form a solid thin film are mixed while in a gaseous or vaporous state, and then the precursor mixture is presented to the surface of the workpiece. The surface of the workpiece catalyzes the reaction between the precursors to form a solid thin film at the workpiece surface. A common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • Although CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials already formed on the workpiece. Implanted or doped materials, for example, can migrate within the silicon substrate at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the substrate. This is undesirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.
  • Atomic Layer Deposition (ALD) is another thin film deposition technique. FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes. Referring to FIG. 1A, a layer of gas molecules A coats the surface of a workpiece W. The layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules and then purging the chamber with a purge gas to remove excess A molecules. This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures. Referring to FIG. 1B, the layer of A molecules is then exposed to another precursor gas containing B molecules. The A molecules react with the B molecules to form an extremely thin layer of solid material on the workpiece W. The chamber is then purged again with a purge gas to remove excess B molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques. A typical cycle includes (a) exposing the workpiece to the first precursor A, (b) purging excess A molecules, (c) exposing the workpiece to the second precursor B, and then (d) purging excess B molecules. In actual processing, several cycles are repeated to build a thin film on a workpiece having the desired thickness. For example, each cycle may form a layer having a thickness of approximately 0.5-1.0 Å, and thus several cycles are required to form a solid layer having a thickness of approximately 60 Å.
  • One drawback of ALD processing is that it has a relatively low throughput compared to CVD techniques. For example, each A-purge-B-purge cycle can take several seconds. This results in a total process time of several minutes to form a single thin layer of only 60 Å. In contrast to ALD processing, CVD techniques require only about one minute to form a 60 Å thick layer. The low throughput limits the utility of the ALD technology in its current state because ALD may create a bottleneck in the overall manufacturing process.
  • FIG. 3 schematically illustrates a single-wafer CVD/ALD reactor 10 having a reaction chamber 20 coupled to a gas supply 30 and a vacuum pump 40. The reactor 10 also includes a gas dispenser 60 and a heater 50 for supporting the workpiece W in the reaction chamber 20. The gas dispenser 60 includes a plenum 62 operably coupled to the gas supply 30 and a distributor plate 64 having a plurality of holes 66. In operation, the heater 50 heats the workpiece W to a desired temperature, and the gas supply 30 selectively injects the precursors as described above. The vacuum pump 40 maintains a negative pressure in the reaction chamber 20 to draw the gases from the gas dispenser 60 across the workpiece W and then through an outlet of the chamber 20.
  • In photoselective CVD processing, the reaction chamber 20 may further include a laser 70 configured to generate a laser beam 72 for activating at least one of the precursors. The laser 70 produces the laser beam 72 along a beam path generally parallel to the workpiece W, with the laser beam 72 positioned between the gas dispenser 60 and the workpiece W to selectively activate a precursor(s) before the precursor(s) is deposited onto the workpiece W. The activated precursor(s) subsequently reacts with other precursors on the surface of the workpiece W to form a solid thin film.
  • In addition to CVD and ALD processing, other processing steps are necessary to form features and devices on workpieces. For example, conventional processing includes patterning a design onto a workpiece, etching unnecessary material from the workpiece, depositing selected material onto the workpiece, and planarizing the surface of the workpiece. These additional processing steps are expensive and time-consuming. Accordingly, a need exists to improve the efficiency with which features are formed on workpieces.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using ALD techniques in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reaction chamber for depositing materials onto a microfeature workpiece in accordance with the prior art.
  • FIG. 4 is a schematic representation of a system for depositing materials onto a microfeature workpiece in accordance with one embodiment of the invention.
  • FIGS. 5A-5C illustrate stages in an ALD process in which a laser desorbs material from a workpiece in accordance with another embodiment of the invention.
  • FIG. 5A is a schematic side cross-sectional view of a portion of the workpiece after depositing a layer of first molecules onto a surface of the workpiece.
  • FIG. 5B is a schematic side cross-sectional view of the workpiece after desorbing a selected portion of the first molecules.
  • FIG. 5C is a schematic side cross-sectional view of the workpiece after depositing a layer of second molecules onto the workpiece.
  • FIGS. 6A-6D illustrate stages in a CVD process in which the laser desorbs material from a workpiece in accordance with another embodiment of the invention.
  • FIG. 6A is a schematic side cross-sectional view of a portion of the workpiece after depositing a layer of first molecules onto a surface of the workpiece.
  • FIG. 6B is a schematic side cross-sectional view of the workpiece after with the laser desorbing selected first molecules from a portion of the workpiece.
  • FIG. 6C is a schematic side cross-sectional view of the workpiece after depositing second molecules onto the workpiece.
  • FIG. 6D is a schematic side cross-sectional view of the workpiece after desorbing a selected portion of the second molecules.
  • FIGS. 7A-7C illustrate stages in an ALD process in which the laser activates molecules on a workpiece in accordance with another embodiment of the invention.
  • FIG. 7A is a schematic side cross-sectional view of a portion of the workpiece after depositing a layer of first molecules onto the workpiece.
  • FIG. 7B is a schematic side cross-sectional view of the workpiece after depositing a plurality of second molecules onto the workpiece.
  • FIG. 7C a schematic side cross-sectional view of the workpiece after removing the nonreacted second molecules from the workpiece.
  • FIG. 8 is a schematic representation of a system for depositing materials onto a microfeature workpiece in accordance with another embodiment of the invention.
  • FIG. 9 is a schematic representation of a system for depositing materials onto a microfeature workpiece in accordance with another embodiment of the invention.
  • DETAILED DESCRIPTION A. Overview
  • The following disclosure describes several embodiments of systems for depositing materials onto microfeature workpieces, and methods for depositing materials onto workpieces in reaction chambers. Many specific details of the invention are described below with reference to single-wafer reaction chambers for depositing materials onto microfeature workpieces, but several embodiments can be used in batch systems for processing a plurality of workpieces simultaneously. The term “microfeature workpiece” is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other features are fabricated. For example, microfeature workpieces can be semiconductor wafers such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials. Furthermore, the term “gas” is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature). Several embodiments in accordance with the invention are set forth in FIGS. 4-9 and the following text to provide a thorough understanding of particular embodiments of the invention. A person skilled in the art will understand, however, that the invention may have additional embodiments, or that the invention may be practiced without several of the details of the embodiments shown in FIGS. 4-9.
  • Several aspects of the invention are directed to methods for depositing materials onto microfeature workpieces in a reaction chamber. In one embodiment, a method includes depositing molecules of a gas onto a microfeature workpiece in the reaction chamber and selectively irradiating a first portion of the molecules on the microfeature workpiece in the reaction chamber with a selected radiation without irradiating a second portion of the molecules on the workpiece with the selected radiation. The first portion of the molecules can be irradiated to activate the molecules or desorb the molecules from the workpiece. The first portion of the molecules can be selectively irradiated by impinging the molecules with a laser beam or another energy source.
  • In another embodiment, a method includes depositing first molecules of a first gas onto the microfeature workpiece in the reaction chamber, directing a laser beam toward a first portion of the first molecules to desorb the first portion of the first molecules without desorbing a second portion of the first molecules, and depositing second molecules of a second gas onto the second portion of the first molecules. The first and second gases can have generally the same or different compositions. The method can further include directing the laser beam toward a first portion of the second molecules to desorb the first portion of the second molecules without directing the laser beam toward a second portion of the second molecules.
  • In another embodiment, a method includes depositing first molecules of a first gas onto the microfeature workpiece in the reaction chamber, directing a laser beam toward a selected portion of the first molecules to activate the selected portion of the first molecules to react with second molecules of a second gas, and depositing the second molecules of the second gas onto the selected portion of the first molecules. The first and second gases can have the same or different compositions. The method can further include purging excess first gas from the reaction chamber before depositing molecules of the second gas.
  • Other aspects of the invention are directed to systems for depositing materials onto a surface of a microfeature workpiece. In one embodiment, a system includes a gas supply assembly having a gas source, a gas phase reaction chamber for carrying the microfeature workpiece, a gas distributor carried by the reaction chamber and coupled to the gas supply assembly, an energy source positioned to selectively irradiate portions of the microfeature workpiece, and a controller operably coupled to the energy source and the gas supply assembly. The controller has a computer-readable medium containing instructions to perform one of the above-mentioned methods.
  • B. Embodiments of Deposition Systems
  • FIG. 4 is a schematic representation of a system 100 for depositing materials onto a microfeature workpiece W in accordance with one embodiment of the invention. In this embodiment, the system 100 includes a reactor 110 having a reaction chamber 120 coupled to a gas supply 130 and a vacuum pump 140. The reactor 110 also includes a gas distributor 160 coupled to the gas supply 130 to dispense gas(es) into the reaction chamber 120 and onto the workpiece W. Byproducts including excess and/or unreacted gas molecules are removed from the reaction chamber 120 by the vacuum pump 140 and/or by injecting a purge gas into the chamber 120.
  • The gas supply 130 includes a plurality of gas sources 132 (shown schematically and identified individually as 132 a-c) and a plurality of gas lines 136 coupled to corresponding gas sources 132. The gas sources 132 can include a first gas source 132 a for providing a first gas, a second gas source 132 b for providing a second gas, and a third gas source 132 c for providing a third gas. The first and second gases can be first and second precursors, respectively. The third gas can be a purge gas. The first and second precursors are the gas and/or vapor phase constituents that react to form the thin, solid layer on the workpiece W. The purge gas can be a suitable type of gas that is compatible with the reaction chamber 120 and the workpiece W. In other embodiments, the gas supply 130 can include a different number of gas sources 132 for applications that require additional precursors or purge gases.
  • The system 100 of the illustrated embodiment further includes a valve assembly 133 (shown schematically) coupled to the gas lines 136 and a controller 134 (shown schematically) operably coupled to the valve assembly 133. The controller 134 generates signals to operate the valve assembly 133 to control the flow of gases into the reaction chamber 120 for ALD and CVD applications. For example, the controller 134 can be programmed to operate the valve assembly 133 to pulse the gases individually through the gas distributor 160 in ALD applications or to mix selected precursors in the gas distributor 160 in CVD applications. More specifically, in one embodiment of an ALD process, the controller 134 directs the valve assembly 133 to dispense a pulse of the first gas (e.g., the first precursor) into the reaction chamber 120. Next, the controller 134 directs the valve assembly 133 to dispense a pulse of the third gas (e.g., the purge gas) to purge excess molecules of the first gas from the reaction chamber 120. The controller 134 then directs the valve assembly 133 to dispense a pulse of the second gas (e.g., the second precursor), followed by a pulse of the third gas. In one embodiment of a pulsed CVD process, the controller 134 directs the valve assembly 133 to dispense a pulse of the first and second gases (e.g., the first and second precursors) into the reaction chamber 120. Next, the controller 134 directs the valve assembly 133 to dispense a pulse of the third gas (e.g., the purge gas) into the reaction chamber 120. In other embodiments, the controller 134 can dispense the gases in other sequences.
  • In the illustrated embodiment, the reactor 110 also includes a workpiece support 150 to hold the workpiece W in the reaction chamber 120. The workpiece support 150 can be heated to bring the workpiece W to a desired temperature for catalyzing the reaction between the first gas and the second gas at the surface of the workpiece W. For example, the workpiece support 150 can be a plate with a heating element. The workpiece support 150, however, may not be heated in other applications.
  • The illustrated reaction chamber 120 further includes a laser 170 (shown schematically) operably coupled to the controller 134 for producing a laser beam 172 to irradiate selected portions of the workpiece W. The laser beam 172 provides sufficient localized energy to desorb or activate the irradiated molecules on the workpiece W. For example, after a layer of material has been deposited onto the workpiece W, the laser 170 can direct the laser beam 172 toward a selected portion of the material to desorb or activate the material, as described in greater detail below. Depending on the material, the power required for desorption can be on the order of 1e6 W/cm2. Accordingly, in several embodiments, the laser 170 can be a stand-alone laser system; and in other embodiments, the laser 170 can include one or more laser diodes. For example, suitable laser diodes include a 600 W QCW Laser Diode Array, part number ARR48P600, manufactured by Cutting Edge Optronics in St. Charles, Mo. In additional embodiments, the reaction chamber 120 may include an energy source in lieu of a laser to heat a localized portion of the workpiece W for desorbing or activating selected molecules.
  • The reactor 110 may further include a positioning device 180 (shown schematically) coupled to the laser 170 and operably coupled to the controller 134 for moving the laser 170 and aligning the laser beam 172 with the selected portion of the workpiece W. For example, the positioning device 180 can move the laser 170 from a stowed position (shown in hidden lines) to a deployed position (shown in solid lines) for irradiating the selected portion of the workpiece W. In the stowed position, the laser 170 and the positioning device 180 are arranged so as not to interfere with the flow of gases from the gas distributor 160 to the workpiece W. The positioning device 180 can be configured to move the laser 170 side to side (e.g., X direction) and forward and backward (e.g., Y direction) to align the laser beam 170 with the selected portion of the workpiece W. Alternatively, the positioning device 180 may also be able to move the laser 170 upward and downward (e.g., Z direction). The positioning device 180 can accordingly have an articulating arm, a telescoping arm, or other type of structure to support the laser 170 over the workpiece W. The positioning device 180 can further include an actuator to move the arm. In other embodiments, such as those described below with reference to FIGS. 8 and 9, the reactor may not include a positioning device coupled to the laser.
  • C. Embodiments of Methods for Depositing Materials onto Workpieces
  • FIGS. 5A-5C illustrate stages in an ALD process in which the laser 170 desorbs material from the workpiece W in accordance with one embodiment of the invention. FIG. 5A, more specifically, is a schematic side cross-sectional view of a portion of the workpiece W after dispensing a pulse of a first gas into the reaction chamber 120 (FIG. 4) and depositing a layer of first molecules 192 from the first gas onto a surface 190 of the workpiece W. FIG. 5B is a schematic side cross-sectional view of the workpiece W with the laser beam 172 impinging a selected portion P1 of the workpiece W. After depositing the first molecules 192 onto the workpiece W, the positioning device 180 aligns the laser 170 with the selected portion P1 of the workpiece W and the laser 170 directs the laser beam 172 toward selected first molecules 192 a. The power, wavelength, and other laser beam parameters are selected based on the chemistry of the first molecules 192 so that the energy from the laser beam 172 breaks the bonds securing the selected first molecules 192 a to the surface 190 and, consequently, desorbs the selected first molecules 192 a from the workpiece W. As the laser 170 moves across the workpiece W, the laser beam 172 impinges the selected first molecules 192 a without impinging a plurality of nonselected first molecules 192 b. Consequently, the nonselected first molecules 192 b remain physisorbed and/or chemisorbed to the surface 190 of the workpiece W.
  • After irradiating the portion P1 of the workpiece W, a purge gas can be dispensed into the reaction chamber 120 (FIG. 4) to remove the desorbed first molecules 192 a and the excess first gas molecules from the chamber 120. Alternatively, the purge gas can be dispensed into the reaction chamber 120 while the portion P1 of the workpiece W is irradiated. In other embodiments, the desorbed first molecules 192 a can be removed from the reaction chamber 120 without injecting a purge gas by drawing the molecules 192 a from the chamber 120 with the vacuum pump 140 (FIG. 4). In additional embodiments, the desorbed first molecules 192 a can be removed from the reaction chamber 120 as a second gas is subsequently injected into the chamber 120 and deposited onto the workpiece W.
  • FIG. 5C is a schematic side cross-sectional view of the workpiece W after dispensing a pulse of a second gas into the reaction chamber 120 (FIG. 4) and depositing a layer of second molecules 194 from the second gas onto the workpiece W. The second molecules 194 react with the first molecules 192 b to form a discrete film 195 a on the workpiece W.
  • The first and second gases can have the same or different compositions. For example, in one embodiment, the composition of the second molecules 194 can be chosen such that the second molecules 194 adhere to the nonirradiated first molecules 192 b but do not adhere to the exposed portion P1 of the surface 190. Suitable gases for such an embodiment include TMA for the first gas and O3 for the second gas, although other gases can be used. In other embodiments, the second molecules 194 can adhere to the exposed portion P1 of the surface 190 in addition to the nonirradiated first molecules 192 b. If some of the second molecules 194 adhere to the exposed portion P1 of the surface 190, the laser 170 (FIG. 4) can optionally irradiate and desorb these molecules. In either case, after depositing the second molecules 194 onto the workpiece W, the reaction chamber 120 can be purged and the process can be repeated to build additional layers (shown in hidden lines as 195 b and 195 c) on the workpiece W.
  • In additional embodiments, the laser 170 can irradiate the selected portion P1 of the workpiece W only after the second molecules 194 have been deposited onto the workpiece W. For example, in one method, the first molecules 192 are deposited across the workpiece W, and then the reaction chamber 120 can be optionally purged. Next, the second molecules 194 are deposited across the workpiece W, and then the laser 170 irradiates the selected portion P1 of the workpiece W to desorb the selected first and second molecules.
  • One advantage of the method illustrated in FIGS. 5A-5C is the ability to form features 199, such as conductive lines, on the workpiece W during an ALD process. Forming features 199 on the workpiece W during the deposition process simplifies and reduces the number of subsequent production steps required to construct devices on the workpiece W. For example, by forming the features 199 on the illustrated workpiece W during an ALD process, post-deposition processing, including masking, etching, depositing material, and planarizing, may be reduced and/or eliminated.
  • FIGS. 6A-6D illustrate stages in a CVD process in which the laser 170 desorbs material from the workpiece W in accordance with another embodiment of the invention. FIG. 6A, more specifically, is a schematic side cross-sectional view of a portion of the workpiece W after dispensing a pulse of one or more precursors into the reaction chamber 120 (FIG. 4), mixing the precursors to form a gas, and depositing a layer of first molecules 292 from the gas onto the surface 190 of the workpiece W. FIG. 6B is a schematic side cross-sectional view of the workpiece W with the laser 170 directing the laser beam 172 toward selected first molecules 292 a to desorb the molecules 292 a from a portion P2 of the workpiece W. As the laser 170 moves across the workpiece W, the laser beam 172 does not impinge and desorb a plurality of nonselected molecules 292 b. After desorption, the selected first molecules 292 a can be removed from the reaction chamber 120 by dispensing a purge gas into the chamber 120 and/or drawing the desorbed molecules 292 a from the chamber 120 with the vacuum pump 140 (FIG. 4). Alternatively, the purge gas can be dispensed into the reaction chamber 120 while the portion P2 of the workpiece W is irradiated.
  • FIG. 6C is a schematic side cross-sectional view of the workpiece W after dispensing another pulse of the precursors into the reaction chamber 120 (FIG. 4), mixing the precursors to form the gas, and depositing a plurality of second molecules 294 of the gas onto the workpiece W. The second molecules 294 are deposited onto the nonirradiated molecules 292 b and the exposed portion P2 of the workpiece W. The second molecules 294 proximate to the first molecules 292 b react with the first molecules 292 b to form a discrete film 295 a on the workpiece W.
  • FIG. 6D is a schematic side cross-sectional view of the workpiece W with the laser 170 directing the laser beam 172 toward selected second molecules 294 a to desorb the selected molecules 294 a from the portion P2 of the workpiece W. After desorbing the selected second molecules 294 a, the process can be repeated to build additional layers (shown in hidden lines as 295 b and 295 c) on the workpiece W. In other embodiments, the selected second molecules 294 a may not be desorbed from the workpiece W or may be desorbed during subsequent process steps.
  • In additional embodiments, more than one layer of molecules can be desorbed during a single irradiation cycle. For example, in one method, a layer of first molecules 292 can be deposited onto the workpiece W, a layer of second molecules 294 can be deposited onto the workpiece W, and then the laser beam 172 can desorb the selected first and second molecules 292 a and 294 a from the workpiece W.
  • FIGS. 7A-7C illustrate stages in an ALD process in which the laser 170 activates molecules on the workpiece W in accordance with another embodiment of the invention. More specifically, FIG. 7A is a schematic side cross-sectional view of a portion of the workpiece W after dispensing a pulse of a first gas into the reaction chamber 120 (FIG. 4) and depositing a layer of first molecules 392 (shown as 392 a and 392 b) from the first gas onto the surface 190 of the workpiece W. After depositing the first molecules 392, the reaction chamber 120 can optionally be purged to remove excess molecules of the first gas. Next, the laser 170 moves across the workpiece W and directs the laser beam 172 toward selected first molecules 392 a on a portion P3 of the workpiece W. The power, wavelength, and other laser beam parameters are selected based on the chemistry of the first molecules 392 so that the energy from the laser beam 172 activates the selected first molecules 392 a such that the molecules 392 a are inclined to react with a subsequent gas. More specifically, the energy from the laser beam 172 breaks one or more of the bonds of the selected adsorbed molecules 392 a, which destabilizes the molecules 392 a such that the molecules 392 a are inclined to react with the next molecule in the ALD sequence. As the laser 170 moves across the workpiece W, the laser beam 172 activates the selected first molecules 392 a without exposing or activating a plurality of nonselected first molecules 392 b on the workpiece W.
  • FIG. 7B is a schematic side cross-sectional view of the workpiece W after dispensing a pulse of a second gas into the reaction chamber 120 (FIG. 4) and depositing a layer of second molecules 394 (shown as 394 a and 394 b) from the second gas onto the workpiece W. The first and second gases can have the same or different compositions. The second molecules 394 a proximate to the activated first molecules 392 a react with the activated molecules 392 a to form a discrete film 395 on the workpiece W. The second molecules 394 b proximate to the nonactivated first molecules 392 b generally do not react with the nonactivated molecules 392 b.
  • FIG. 7C a schematic side cross-sectional view of the workpiece W after removing the nonreacted second molecules 394 b (FIG. 7B) from the workpiece W. The nonreacted second molecules 394 b can be removed from the workpiece W and the reaction chamber 120 (FIG. 4) by dispensing a purge gas into the chamber 120 and/or drawing the molecules 294 b from the chamber 120 with the vacuum pump 140 (FIG. 4). In some embodiments, the nonactivated first molecules 392 b can also be removed from the workpiece W; however, in other embodiments, the nonactivated first molecules 392 b may not be removed from the workpiece W. In either case, the process can be repeated to build additional layers (shown in hidden lines as 395 b and 395 c) and form a feature 399 on the workpiece W.
  • In other embodiments, the laser 170 can irradiate the selected portion P3 of the workpiece W after the second molecules 394 have been deposited onto the workpiece W. For example, in one method, a layer of first molecules 392 are deposited across the workpiece W, and then the reaction chamber 120 can be optionally purged. Next, a layer of second molecules 394 are deposited across the workpiece W, and then the laser 170 irradiates the selected portion P3 of the workpiece W to activate the selected first and/or second molecules and catalyze the reaction between the selected molecules.
  • In additional embodiments, the methods described above with reference to FIGS. 7A-7C can also be used in a CVD process. For example, in one CVD process, a layer of first molecules can be deposited onto a workpiece, and the laser can activate a selected portion of the first molecules. Next, a plurality of second molecules can be deposited onto and react with the activated first molecules. Alternatively, as described above, the laser can irradiate the selected portion of the workpiece after a layer of second molecules have been deposited to catalyze the reaction between the selected first and second molecules.
  • D. Additional Embodiments of Deposition Systems
  • FIG. 8 is a schematic representation of a system 400 for depositing materials onto a microfeature workpiece W in accordance with another embodiment of the invention. The illustrated system 400 is generally similar to the system 100 described above with reference to FIG. 4. For example, the illustrated system 400 includes a reactor 410 having a reaction chamber 420 coupled to the gas supply 130 and the vacuum pump 140. The illustrated reaction chamber 420 includes a laser 470 (shown schematically) for producing a laser beam 472 along a path, a reflector 478 positioned along the path of the laser beam 472, and a positioning device 480 (shown schematically) for moving the reflector 478 relative to the workpiece W. The laser 470 can be fixed relative to the workpiece W and configured to pivot about the Z axis. The positioning device 480 can move the reflector 478 side to side (e.g., X direction) and forward and backward (e.g., Y direction) to reflect the laser beam 472 toward the selected portion of the workpiece W.
  • FIG. 9 is a schematic representation of a system 500 for depositing materials onto a microfeature workpiece W in accordance with another embodiment of the invention. The illustrated system 500 is generally similar to the system 100 described above with reference to FIG. 4. For example, the illustrated system 500 includes a reactor 510 having a reaction chamber 520 coupled to the gas supply 130 and the vacuum pump 140. The illustrated reaction chamber 520 includes a laser 570 (shown schematically) for generating a laser beam 572 (shown in hidden lines), a workpiece support 150 for carrying the workpiece W, and a positioning device 580 (shown schematically) attached to the workpiece support 150 for moving the workpiece W relative to the laser 570. For example, the positioning device 580 can move the workpiece support 150 from a first position (shown in solid lines) in which the workpiece W is oriented for deposition to a second position (shown in broken lines) in which the workpiece W is oriented for irradiation.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. For example, any one of the systems 100, 400 and 500 described above with reference to FIGS. 4, 8 and 9 can be used to perform any one of the methods described above with reference to FIGS. 5-7. Accordingly, the invention is not limited except as by the appended claims.

Claims (15)

We claim:
1. A method of depositing material onto a microfeature workpiece in a reaction chamber, the method comprising:
depositing molecules of a gas onto the microfeature workpiece in the reaction chamber; and
irradiating a selected portion of the molecules on the microfeature workpiece in the reaction chamber to activate the selected portion of the molecules.
2. The method of claim 1 wherein irradiating the selected portion of the molecules comprises directing a laser beam toward the selected portion of the molecules without directing the laser beam toward a nonselected portion of the molecules on the microfeature workpiece.
3. The method of claim 1 wherein irradiating the selected portion of the molecules comprises activating the selected portion of the molecules on the microfeature workpiece without activating a nonselected portion of the molecules on the workpiece.
4. The method of claim 1 wherein the molecules of the gas comprise a plurality of first molecules of a first gas, wherein the first molecules comprise the selected portion and a nonselected portion, and wherein the method further comprises depositing second molecules of a second gas onto the selected portion of the first molecules after irradiating the selected portion of the first molecules.
5. The method of claim 1 wherein the molecules of the gas comprise a plurality of first molecules of a first gas, wherein the first molecules comprise the selected portion and a nonselected portion, and wherein the method further comprises:
removing the nonselected portion of the first molecules from the microfeature workpiece; and
depositing second molecules of a second gas onto the selected portion of the first molecules after irradiating the selected portion of the first molecules.
6. The method of claim 1 wherein irradiating the selected portion of the molecules comprises impinging the selected portion of the molecules with a laser beam.
7. The method of claim 1 wherein the gas comprises a first precursor and a second precursor different than the first precursor, and wherein the method further comprises:
flowing the first precursor into the reaction chamber;
flowing the second precursor into the reaction chamber; and
mixing the first and second precursors before depositing the molecules of the gas onto the microfeature workpiece.
8. A method of depositing material onto a microfeature workpiece in a reaction chamber, the method comprising:
depositing first molecules of a first gas onto the microfeature workpiece in the reaction chamber;
directing a laser beam toward a selected portion of the first molecules on the microfeature workpiece in the reaction chamber to activate the selected portion of the first molecules to react with second molecules of a second gas; and
depositing the second molecules of the second gas onto the selected portion of the first molecules.
9. The method of claim 8 wherein directing the laser beam toward the selected portion of the first molecules comprises activating the selected portion of the first molecules without activating a nonselected portion of the first molecules on the microfeature workpiece.
10. The method of claim 8 wherein the first gas comprises a first precursor and a second precursor different than the first precursor, and wherein the method further comprises:
flowing the first precursor into the reaction chamber;
flowing the second precursor into the reaction chamber; and
mixing the first and second precursors before depositing the first molecules of the first gas onto the microfeature workpiece.
11. The method of claim 8, further comprising purging excess first gas from the reaction chamber before depositing molecules of the second gas.
12. A system for depositing materials onto a surface of a microfeature workpiece, the system comprising:
a gas supply assembly having a first gas source and a second gas source;
a gas phase reaction chamber for carrying the microfeature workpiece;
a gas distributor carried by the reaction chamber and coupled to the gas supply assembly;
an energy source positioned to selectively irradiate portions of the microfeature workpiece; and
a controller operably coupled to the energy source and the gas supply assembly, the controller having a computer-readable medium containing instructions to perform a method comprising—
depositing first molecules of a first gas onto the microfeature workpiece;
irradiating a first portion of the first molecules on the microfeature workpiece without irradiating a second portion of the first molecules on the workpiece; and
depositing second molecules of a second gas onto at least one of the first and second portions of the first molecules on the microfeature workpiece.
13. The system of claim 12 wherein the energy source comprises a laser configured for producing a laser beam to selectively irradiate the first portion of the first molecules on the microfeature workpiece.
14. The system of claim 13, further comprising a positioning device coupled to the laser for moving the laser to direct the laser beam toward the selected first and second portions of the molecules on the microfeature workpiece.
15. The system of claim 12 wherein the energy source comprises a laser configured for producing a laser beam along a path, and wherein the system further comprises a reflector positioned in the path of the laser beam to reflect the laser beam toward the microfeature workpiece.
US14/699,830 2004-05-06 2015-04-29 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces Abandoned US20150247236A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/699,830 US20150247236A1 (en) 2004-05-06 2015-04-29 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/840,571 US8133554B2 (en) 2004-05-06 2004-05-06 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US13/419,002 US9023436B2 (en) 2004-05-06 2012-03-13 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US14/699,830 US20150247236A1 (en) 2004-05-06 2015-04-29 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/419,002 Division US9023436B2 (en) 2004-05-06 2012-03-13 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces

Publications (1)

Publication Number Publication Date
US20150247236A1 true US20150247236A1 (en) 2015-09-03

Family

ID=35239744

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/840,571 Active 2027-05-14 US8133554B2 (en) 2004-05-06 2004-05-06 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US13/419,002 Expired - Lifetime US9023436B2 (en) 2004-05-06 2012-03-13 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US14/699,830 Abandoned US20150247236A1 (en) 2004-05-06 2015-04-29 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/840,571 Active 2027-05-14 US8133554B2 (en) 2004-05-06 2004-05-06 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US13/419,002 Expired - Lifetime US9023436B2 (en) 2004-05-06 2012-03-13 Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces

Country Status (1)

Country Link
US (3) US8133554B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US9466464B1 (en) * 2015-01-23 2016-10-11 Multibeam Corporation Precision substrate material removal using miniature-column charged particle beam arrays
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus

Family Cites Families (490)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US127031A (en) 1872-05-21 Improvement in apparatus for carbureting air
US131943A (en) 1872-10-08 Improvement in carbureters for air and gas
US579269A (en) 1897-03-23 Roller-bearing
USRE24291E (en) 1957-03-19 Device for introducing atomized liquid into gas under pressure
US645119A (en) * 1899-12-08 1900-03-13 Edward G Morrison Tipping-handle and cover holder for kettles.
US1741519A (en) 1926-12-10 1929-12-31 Universal Oil Prod Co Dephlegmator or fractionating column
US2508500A (en) 1942-05-23 1950-05-23 Hartford Nat Bank & Trust Co Apparatus for applying metal coatings on insulators
US3174717A (en) * 1961-12-07 1965-03-23 Grinnell Corp Ratchet actuated fast-opening, slow-closing valve
GB1065762A (en) 1963-01-16 1967-04-19 Ass Elect Ind Improvements relating to electroluminescent devices
CH461715A (en) 1966-07-06 1968-08-31 Battelle Development Corp Process for manufacturing a continuous product from a molten material
FR1500185A (en) 1966-08-08 1967-11-03 Ct De Rech S Du Fer Blanc Electrolytic tinning process of a steel strip
GB1260300A (en) 1968-04-24 1972-01-12 Plessey Co Ltd IMPROVEMENTS IN OR RELATING TO THE PRODUCTION OF VAPOUR-DEPOSITED Nb3Sn CONDUCTOR MATERIAL
US3618919A (en) 1969-11-03 1971-11-09 Btu Eng Corp Adjustable heat and gas barrier
US3630881A (en) 1970-01-22 1971-12-28 Ibm Cathode-target assembly for rf sputtering apparatus
US3634212A (en) 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US3744771A (en) 1970-07-20 1973-07-10 Ahldea Corp Disposable liquid entraining system
US3711771A (en) * 1971-11-01 1973-01-16 North American Rockwell Radio-frequency power testing equipment
US3945804A (en) 1972-09-06 1976-03-23 Sun Ventures, Inc. Ammoxidation apparatus
GB1469230A (en) 1974-06-04 1977-04-06 Secr Defence Preparation of chemical c-mpounds
SU598630A1 (en) 1974-08-15 1978-02-21 Предприятие П/Я Р-6707 Device for introducing into reaction chamber
US4022928A (en) * 1975-05-22 1977-05-10 Piwcyzk Bernhard P Vacuum deposition methods and masking structure
US4018949A (en) 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4098923A (en) 1976-06-07 1978-07-04 Motorola, Inc. Pyrolytic deposition of silicon dioxide on semiconductors using a shrouded boat
US4289061A (en) 1977-10-03 1981-09-15 Hooker Chemicals & Plastics Corp. Device and assembly for mounting parts
FR2420270A1 (en) 1978-03-17 1979-10-12 Abdalla Mohamed PROCESS FOR THE REALIZATION OF THIN ELECTROLUMINESCENT LAYERS AND APPARATUS FOR IMPLEMENTING THIS PROCESS
US4242182A (en) 1978-07-21 1980-12-30 Francine Popescu Bright tin electroplating bath
NL184695C (en) 1978-12-04 1989-10-02 Philips Nv BATH FOR THE STREAMLESS DEPOSIT OF TIN ON SUBSTRATES.
JPS55108944A (en) * 1979-02-15 1980-08-21 Sony Corp Information recording medium
JPS55158623A (en) 1979-05-29 1980-12-10 Hitachi Ltd Method of controlling semiconductor vapor phase growth
JPS6029295B2 (en) 1979-08-16 1985-07-10 舜平 山崎 Non-single crystal film formation method
US4313783A (en) 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
JPS5747706A (en) 1980-09-04 1982-03-18 Toshio Hirai Lump of silicon nitride containing ti and its manufacture
JPS6054443B2 (en) 1980-12-15 1985-11-30 細山熱器株式会社 Transfer device for rail heating
US4545136A (en) 1981-03-16 1985-10-08 Sovonics Solar Systems Isolation valve
DD206687A3 (en) 1981-07-28 1984-02-01 Mikroelektronik Zt Forsch Tech METHOD AND DEVICE FOR FUELING LP CVD PROCESSES IN A PIPE REACTOR
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4615904A (en) * 1982-06-01 1986-10-07 Massachusetts Institute Of Technology Maskless growth of patterned films
US4826579A (en) 1982-06-25 1989-05-02 Cel Systems Corporation Electrolytic preparation of tin and other metals
US4438724A (en) 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4397753A (en) 1982-09-20 1983-08-09 Circuit Chemistry Corporation Solder stripping solution
DE3330764C2 (en) 1982-10-15 1994-10-27 Yamato Scient Co Ltd Rotary evaporator
US4607167A (en) * 1982-10-19 1986-08-19 Varian Associates, Inc. Charged particle beam lithography machine incorporating localized vacuum envelope
JPS603164A (en) * 1983-06-21 1985-01-09 Sanyo Electric Co Ltd Manufacture of photovoltaic device
CA1243762A (en) 1983-10-11 1988-10-25 Martin P. Schrank Thin film electroluminescent display device
US4593644A (en) 1983-10-26 1986-06-10 Rca Corporation Continuous in-line deposition system
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4595399A (en) 1984-11-05 1986-06-17 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Nebulization reflux concentrator
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
DE3511981A1 (en) 1985-04-02 1986-11-20 Fa. Fritz Genser, 8803 Rothenburg METHOD FOR VACUUM ROTATION EVAPORATION, AND DEVICE FOR IMPLEMENTING THE METHOD
JPS61292894A (en) 1985-06-19 1986-12-23 富士通株式会社 Two source evaporation
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
DE3709066A1 (en) 1986-03-31 1987-10-01 Toshiba Kawasaki Kk METHOD FOR PRODUCING A THIN METAL FILM BY CHEMICAL EVAPORATION
JPH0616491B2 (en) 1986-04-07 1994-03-02 日本電気株式会社 Vapor phase epitaxial growth system
US4681777A (en) 1986-05-05 1987-07-21 Engelken Robert D Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates
JPS62263629A (en) 1986-05-12 1987-11-16 Hitachi Ltd Vapor growth device
JPS6314434A (en) 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd Substrate surface processing and equipment therefor
US4832115A (en) 1986-07-09 1989-05-23 Albers Technologies Corporation Method and apparatus for simultaneous heat and mass transfer
JPS6320490A (en) 1986-07-14 1988-01-28 Toshiba Corp Method for cleaning film forming apparatus
JPS6352134A (en) * 1986-08-22 1988-03-05 Canon Inc Optical recording method
US4966646A (en) 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
JPS63111177A (en) 1986-10-29 1988-05-16 Hitachi Ltd Thin film forming device by microwave plasma
US4988879A (en) * 1987-02-24 1991-01-29 The Board Of Trustees Of The Leland Stanford Junior College Apparatus and method for laser desorption of molecules for quantitation
JPS63234198A (en) 1987-03-24 1988-09-29 株式会社東芝 Flange partition leakage detector
JPS63259067A (en) 1987-04-14 1988-10-26 Nippon Sheet Glass Co Ltd Production of thin zinc sulfide film
JPH0777211B2 (en) 1987-08-19 1995-08-16 富士通株式会社 Ashing method
JPH01108378A (en) 1987-10-21 1989-04-25 Mitsubishi Electric Corp Sputtering device
JPH0668962B2 (en) 1987-12-21 1994-08-31 株式会社東芝 Vacuum device and method of performing process using the same
US5618388A (en) * 1988-02-08 1997-04-08 Optical Coating Laboratory, Inc. Geometries and configurations for magnetron sputtering apparatus
US4821302A (en) * 1988-02-29 1989-04-11 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for transient unit cell measurement
DE3830249A1 (en) 1988-09-06 1990-03-15 Schott Glaswerke PLASMA PROCESS FOR COATING LEVEL SUBSTRATES
US4962057A (en) * 1988-10-13 1990-10-09 Xerox Corporation Method of in situ photo induced evaporation enhancement of compound thin films during or after epitaxial growth
US4949669A (en) 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH02208925A (en) * 1989-02-09 1990-08-20 Nippon Telegr & Teleph Corp <Ntt> Formation of semiconductor film
US5015330A (en) 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4911638A (en) 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
JP2888253B2 (en) 1989-07-20 1999-05-10 富士通株式会社 Chemical vapor deposition and apparatus for its implementation
JPH0361366A (en) * 1989-07-28 1991-03-18 Matsushita Electric Ind Co Ltd Laser beam sputtering device
JPH03174717A (en) 1989-10-06 1991-07-29 Nippon Kentetsu Co Ltd Method of supplying water of plasma ashing
US5090985A (en) 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JP2703813B2 (en) 1989-11-13 1998-01-26 昭和電工株式会社 Gas dispersion plate of fluidized bed type gas phase polymerization equipment
US5139606A (en) * 1989-12-05 1992-08-18 Massachusetts Institute Of Technology Laser bilayer etching of GaAs surfaces
US5656211A (en) 1989-12-22 1997-08-12 Imarx Pharmaceutical Corp. Apparatus and method for making gas-filled vesicles of optimal size
US5716796A (en) 1990-01-23 1998-02-10 Medical Devices Corporation Optical blood hemostatic analysis apparatus and method
DE4003882C2 (en) * 1990-02-09 1997-05-07 Philips Patentverwaltung Process for laser-induced photolytic reactive deposition from a gas phase
DE69126724T2 (en) 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Device for vapor phase separation
JP2966029B2 (en) 1990-03-30 1999-10-25 新日本無線株式会社 Microwave plasma CVD equipment
US5020476A (en) 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
US4977106A (en) 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
JPH0793193B2 (en) 1990-05-30 1995-10-09 シャープ株式会社 Method of manufacturing thin film EL device
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
JPH0464225A (en) * 1990-07-04 1992-02-28 Fujitsu Ltd Manufacture of semiconductor device
JPH0469933A (en) * 1990-07-11 1992-03-05 Fujitsu Ltd Etching of semiconductor substrate
US5223113A (en) 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5325020A (en) 1990-09-28 1994-06-28 Abtox, Inc. Circular waveguide plasma microwave sterilizer apparatus
EP0493119B1 (en) 1990-12-28 1994-08-17 Hokkai Can Co., Ltd. Welded cans
US5062446A (en) 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5232749A (en) 1991-04-30 1993-08-03 Micron Technology, Inc. Formation of self-limiting films by photoemission induced vapor deposition
DE69213340T2 (en) 1991-05-30 1997-03-27 Hitachi Ltd Valve and its use in a device made of semiconductor material
JPH081923B2 (en) 1991-06-24 1996-01-10 ティーディーケイ株式会社 Clean transfer method and device
JPH0524975A (en) * 1991-07-25 1993-02-02 Matsushita Electric Ind Co Ltd Production of crystalline thin film
JP3238432B2 (en) 1991-08-27 2001-12-17 東芝機械株式会社 Multi-chamber type single wafer processing equipment
US5200023A (en) 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5172849A (en) 1991-09-25 1992-12-22 General Motors Corporation Method and apparatus for convection brazing of aluminum heat exchangers
JP2794355B2 (en) 1991-10-08 1998-09-03 東京エレクトロン株式会社 Processing equipment
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2987663B2 (en) 1992-03-10 1999-12-06 株式会社日立製作所 Substrate processing equipment
US5432015A (en) 1992-05-08 1995-07-11 Westaim Technologies, Inc. Electroluminescent laminate with thick film dielectric
JP3073327B2 (en) 1992-06-30 2000-08-07 キヤノン株式会社 Deposition film formation method
US5378502A (en) * 1992-09-09 1995-01-03 U.S. Philips Corporation Method of chemically modifying a surface in accordance with a pattern
JP2000252269A (en) 1992-09-21 2000-09-14 Mitsubishi Electric Corp Equipment and method for liquid vaporization
DE69322596T2 (en) 1992-10-21 1999-07-15 Hewlett Packard Co Fluorocarbon lubricated toner particles for printers
JPH06295862A (en) 1992-11-20 1994-10-21 Mitsubishi Electric Corp Compound semiconductor fabrication system and organic metal material vessel
JP2798569B2 (en) 1992-11-25 1998-09-17 松下電器産業株式会社 Drying processing equipment
JPH06172979A (en) * 1992-12-08 1994-06-21 Matsushita Electric Ind Co Ltd Thin film pattern forming device
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JPH06201539A (en) 1993-01-04 1994-07-19 Yasuo Kitsuta Centrifugal evaporator
US5820686A (en) 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
JP3288490B2 (en) 1993-07-09 2002-06-04 富士通株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US5592581A (en) 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5626936A (en) 1993-09-09 1997-05-06 Energy Pillow, Inc. Phase change insulation system
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
JP3394293B2 (en) 1993-09-20 2003-04-07 株式会社日立製作所 Method for transporting sample and method for manufacturing semiconductor device
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
KR950020993A (en) 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
FI95421C (en) 1993-12-23 1996-01-25 Heikki Ihantola Device and method for treating semiconductors, such as silicon wafer
KR950034499A (en) 1994-01-28 1995-12-28 제임스 조셉 드롱 Method and apparatus for monitoring the deposition rate of films during physical vapor deposition
US5505986A (en) 1994-02-14 1996-04-09 Planar Systems, Inc. Multi-source reactive deposition process for the preparation of blue light emitting phosphor layers for AC TFEL devices
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
KR960002534A (en) 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
US5514501A (en) * 1994-06-07 1996-05-07 The United States Of America As Represented By The Secretary Of Commerce Process for UV-photopatterning of thiolate monolayers self-assembled on gold, silver and other substrates
US5418180A (en) 1994-06-14 1995-05-23 Micron Semiconductor, Inc. Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
JPH088194A (en) 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
KR100191291B1 (en) 1994-06-24 1999-06-15 하마다 야스유키(코가 노리스케) Seal assembly for heat treatment furnace using an atmospheric gas hydrogen gas
EP0720418B1 (en) 1994-07-04 2002-01-23 Nippon Hoso Kyokai Manufacturing method for ternary compound films
JP3468859B2 (en) 1994-08-16 2003-11-17 富士通株式会社 Gas phase processing apparatus and gas phase processing method
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3473715B2 (en) 1994-09-30 2003-12-08 信越半導体株式会社 Quartz glass wafer boat
JPH08134440A (en) 1994-11-14 1996-05-28 Mitsui Mining & Smelting Co Ltd Thin-film electroluminescent element
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08179307A (en) * 1994-12-22 1996-07-12 Sharp Corp Production of image display panel
US5683538A (en) 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
TW338174B (en) 1995-01-06 1998-08-11 Tokyo Electron Co Ltd Apparatus for supplying a treatment material
JP3417751B2 (en) 1995-02-13 2003-06-16 株式会社東芝 Method for manufacturing semiconductor device
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
JP3246708B2 (en) 1995-05-02 2002-01-15 東京エレクトロン株式会社 Trap device and unreacted process gas exhaust mechanism using the same
US5885425A (en) 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5609798A (en) 1995-06-07 1997-03-11 Msp Corporation High output PSL aerosol generator
US5640751A (en) 1995-07-17 1997-06-24 Thermionics Laboratories, Inc. Vacuum flange
JPH0945624A (en) 1995-07-27 1997-02-14 Tokyo Electron Ltd Leaf-type heat treating system
JP3507220B2 (en) 1995-09-14 2004-03-15 株式会社日立国際電気 Semiconductor manufacturing equipment
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5963336A (en) 1995-10-10 1999-10-05 American Air Liquide Inc. Chamber effluent monitoring system and semiconductor processing system comprising absorption spectroscopy measurement system, and methods of use
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5801104A (en) 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
US5536317A (en) 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a quartz crystal thickness/rate controller
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JP3768575B2 (en) 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド CVD apparatus and chamber cleaning method
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5956613A (en) 1995-12-27 1999-09-21 Lsi Logic Corporation Method for improvement of TiN CVD film quality
US6663713B1 (en) 1996-01-08 2003-12-16 Applied Materials Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5754390A (en) 1996-01-23 1998-05-19 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US5658503A (en) 1996-02-01 1997-08-19 Shell Oil Company Dual phase distribution device
US5908947A (en) 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
US6030902A (en) 1996-02-16 2000-02-29 Micron Technology Inc Apparatus and method for improving uniformity in batch processing of semiconductor wafers
US5895530A (en) 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5879516A (en) 1996-02-29 1999-03-09 Kasman; David H. Kugelrohr or distillation apparatus
US6142163A (en) 1996-03-29 2000-11-07 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
JP3386651B2 (en) 1996-04-03 2003-03-17 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5663797A (en) * 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
JPH09312267A (en) 1996-05-23 1997-12-02 Rohm Co Ltd Manufacture of semiconductor device and manufacturing device therefor
US5792700A (en) 1996-05-31 1998-08-11 Micron Technology, Inc. Semiconductor processing method for providing large grain polysilicon films
JPH108255A (en) 1996-06-20 1998-01-13 Ebara Corp Liquid raw material vaporizing device
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5746434A (en) 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
US5868159A (en) 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
JP3310171B2 (en) 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
JP3901252B2 (en) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 Chemical vapor deposition equipment
US5827367A (en) 1996-09-13 1998-10-27 Seh America Apparatus for improving mechanical strength of the neck section of czochralski silicon crystal
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5865417A (en) 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5911238A (en) 1996-10-04 1999-06-15 Emerson Electric Co. Thermal mass flowmeter and mass flow controller, flowmetering system and method
US5992463A (en) 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US5729896A (en) 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
TW344682B (en) 1996-11-29 1998-11-11 Fuji Transaction Co Ltd Liquid coating device a liquid coating device comprises a spray supply nozzle, a gas supply passage, and a spray transport passage.
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
JPH10200091A (en) * 1996-12-28 1998-07-31 Hokuriku Electric Ind Co Ltd Manufacture of semiconductor thin film device
US5833888A (en) 1996-12-31 1998-11-10 Atmi Ecosys Corporation Weeping weir gas/liquid interface structure
US5846275A (en) 1996-12-31 1998-12-08 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US5936829A (en) 1997-01-02 1999-08-10 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
US6062256A (en) 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6328803B2 (en) 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6419462B1 (en) 1997-02-24 2002-07-16 Ebara Corporation Positive displacement type liquid-delivery apparatus
US6110289A (en) 1997-02-25 2000-08-29 Moore Epitaxial, Inc. Rapid thermal processing barrel reactor for processing substrates
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6228781B1 (en) 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US5994181A (en) 1997-05-19 1999-11-30 United Microelectronics Corp. Method for forming a DRAM cell electrode
US6129331A (en) 1997-05-21 2000-10-10 Redwood Microsystems Low-power thermopneumatic microvalve
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6109206A (en) 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6051287A (en) * 1997-06-20 2000-04-18 Micron Technology, Inc. Laser desorption of CVD precursor species
US5846330A (en) 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6113698A (en) 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6089543A (en) 1997-07-11 2000-07-18 Applied Materials, Inc. Two-piece slit valve door with molded-in-place seal for a vacuum processing system
US6045620A (en) 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
US6534007B1 (en) 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6211078B1 (en) 1997-08-18 2001-04-03 Micron Technology, Inc. Method of improving resist adhesion for use in patterning conductive layers
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6048763A (en) 1997-08-21 2000-04-11 Micron Technology, Inc. Integrated capacitor bottom electrode with etch stop layer
JPH1161386A (en) 1997-08-22 1999-03-05 Fuji Electric Co Ltd Film forming device of organic thin film light emitting element
US20010050267A1 (en) 1997-08-26 2001-12-13 Hwang Jeng H. Method for allowing a stable power transmission into a plasma processing chamber
US6025110A (en) * 1997-09-18 2000-02-15 Nowak; Michael T. Method and apparatus for generating three-dimensional objects using ablation transfer
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6156393A (en) * 1997-11-12 2000-12-05 John C. Polanyi Method of molecular-scale pattern imprinting at surfaces
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
WO1999029923A1 (en) 1997-12-05 1999-06-17 Tegal Corporation Plasma reactor with a deposition shield
US6099649A (en) 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6841203B2 (en) 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
JP3567070B2 (en) 1997-12-27 2004-09-15 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
KR100524204B1 (en) 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 Gas processor
US6032923A (en) 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
JP3643474B2 (en) 1998-01-30 2005-04-27 株式会社東芝 Semiconductor processing system and method of using semiconductor processing system
US6291337B1 (en) 1998-02-20 2001-09-18 Stmicroelectronics, Inc. Elimination of cracks generated after a rapid thermal process step of a semiconductor wafer
US6022483A (en) 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
EP1093664A4 (en) 1998-05-11 2003-07-09 Semitool Inc Temperature control system for a thermal reactor
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
JP3813741B2 (en) 1998-06-04 2006-08-23 尚久 後藤 Plasma processing equipment
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6192827B1 (en) 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6358323B1 (en) 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP3830670B2 (en) 1998-09-03 2006-10-04 三菱電機株式会社 Semiconductor manufacturing equipment
US6217704B1 (en) 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6160243A (en) 1998-09-25 2000-12-12 Redwood Microsystems, Inc. Apparatus and method for controlling fluid in a micromachined boiler
JP3234576B2 (en) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド Wafer support device in semiconductor manufacturing equipment
DE19851824C2 (en) 1998-11-10 2002-04-04 Infineon Technologies Ag CVD reactor
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP3619032B2 (en) 1998-11-13 2005-02-09 シーケーディ株式会社 Vacuum pressure control valve
US6383300B1 (en) 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
DE19859484A1 (en) 1998-12-22 2000-07-06 Bosch Gmbh Robert Fuel injector for high pressure injection
TW364054B (en) 1998-12-31 1999-07-11 United Microelectronics Corp Measurement tool for distance between shower head and heater platform
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6347918B1 (en) 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6197119B1 (en) 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6237394B1 (en) 1999-02-25 2001-05-29 Redwood Microsystems, Inc. Apparatus and method for correcting drift in a sensor
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2000256856A (en) 1999-03-11 2000-09-19 Tokyo Electron Ltd Treating device, vacuum exhaust system for treating device, vacuum cvd device, vacuum exhaust system for vacuum cvd device and trapping device
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
WO2000063952A1 (en) 1999-04-15 2000-10-26 Integrated Materials, Inc. Silicon fixtures for wafer processing and method of fabrication
JP2000306884A (en) 1999-04-22 2000-11-02 Mitsubishi Electric Corp Apparatus and method for plasma treatment
TW466593B (en) 1999-04-27 2001-12-01 Tokyo Electron Ltd CVD TiN plug formation from titanium halide precursors
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
EP1879213B1 (en) 1999-05-26 2012-03-14 Tokyo Electron Limited Plasma processing apparatus
GB2371250A (en) 1999-06-11 2002-07-24 Larami Ltd Bladder water gun with shaped stream discharge orifices
AU6336700A (en) 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
US6214714B1 (en) 1999-06-25 2001-04-10 Applied Materials, Inc. Method of titanium/titanium nitride integration
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6294394B1 (en) 1999-07-01 2001-09-25 Voyan Technology Ramp rate limiter to control stress during ramping
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6123107A (en) 1999-07-09 2000-09-26 Redwood Microsystems, Inc. Apparatus and method for mounting micromechanical fluid control components
JP2001025418A (en) 1999-07-13 2001-01-30 Toyo Tire & Rubber Co Ltd Seat cushion pad for automobile
JP3708760B2 (en) 1999-07-14 2005-10-19 大日本スクリーン製造株式会社 Image recording device
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6178660B1 (en) 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP4487338B2 (en) 1999-08-31 2010-06-23 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP2001077088A (en) 1999-09-02 2001-03-23 Tokyo Electron Ltd Plasma processing device
US6387324B1 (en) 1999-09-30 2002-05-14 Therox, Inc. Apparatus and method for blood oxygenation
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
RU2158324C1 (en) 1999-11-02 2000-10-27 Закрытое акционерное общество "Панджшер-Холдинг" Method for manufacturing polycrystalline silicone in the form of large-area plates and chamber for silicone deposition
US6309161B1 (en) 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6705345B1 (en) 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
JP2001148378A (en) 1999-11-22 2001-05-29 Tokyo Electron Ltd Plasma processing apparatus, cluster tool and plasma control method
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
US6596085B1 (en) 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
US6237529B1 (en) 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
JP2001261375A (en) 2000-03-14 2001-09-26 Toshiba Ceramics Co Ltd Ceramic-coated quartz glass body
KR100360401B1 (en) 2000-03-17 2002-11-13 삼성전자 주식회사 Process tube having a slit type process gas injection portion and a waste gas exhaust portion of multi hole type and apparatus for semiconductor fabricating
JP2001274107A (en) 2000-03-28 2001-10-05 Nec Kyushu Ltd Diffusion furnace
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
JP3595756B2 (en) 2000-06-01 2004-12-02 キヤノン株式会社 Exposure apparatus, lithography apparatus, load lock apparatus, device manufacturing method, and lithography method
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6420742B1 (en) 2000-06-16 2002-07-16 Micron Technology, Inc. Ferroelectric memory transistor with high-k gate insulator and method of fabrication
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6290491B1 (en) 2000-06-29 2001-09-18 Motorola, Inc. Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber
JP2002016433A (en) 2000-06-29 2002-01-18 Toshiba Corp Antenna for portable terminal
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
JP4357715B2 (en) 2000-07-24 2009-11-04 東京エレクトロン株式会社 Temperature calibration method for heat treatment equipment
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (en) 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6602346B1 (en) 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
US6461931B1 (en) 2000-08-29 2002-10-08 Micron Technology, Inc. Thin dielectric films for DRAM storage capacitors
US6420230B1 (en) 2000-08-31 2002-07-16 Micron Technology, Inc. Capacitor fabrication methods and capacitor constructions
US6541353B1 (en) 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
KR100569646B1 (en) 2000-09-29 2006-04-11 동경 엘렉트론 주식회사 Heat-treating apparatus and heat-treating method
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6656539B1 (en) * 2000-11-13 2003-12-02 International Business Machines Corporation Method and apparatus for performing laser CVD
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP2002164336A (en) 2000-11-27 2002-06-07 Sony Corp Gas injector and film-forming apparatus
WO2002045871A1 (en) 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6770145B2 (en) 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
US7482283B2 (en) 2000-12-12 2009-01-27 Tokyo Electron Limited Thin film forming method and thin film forming device
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6610352B2 (en) 2000-12-22 2003-08-26 Ifire Technology, Inc. Multiple source deposition process
US6534423B1 (en) 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6346477B1 (en) 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
EP1229356A3 (en) 2001-01-31 2004-01-21 Planar Systems, Inc. Methods and apparatus for the production of optical filters
US6566147B2 (en) 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100384558B1 (en) 2001-02-22 2003-05-22 삼성전자주식회사 Method for forming dielectric layer and capacitor using thereof
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
WO2002073660A2 (en) 2001-03-08 2002-09-19 Asml Us, Inc. System and method to control radial delta temperature
US7378127B2 (en) 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20020129768A1 (en) 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US6454912B1 (en) 2001-03-15 2002-09-24 Micron Technology, Inc. Method and apparatus for the fabrication of ferroelectric films
CN1302152C (en) 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
DE10114434B4 (en) 2001-03-23 2005-04-07 Hans-Georg Genser Rotary evaporator with process-dependent speed control
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
EP1436443A1 (en) 2001-04-05 2004-07-14 Angstron Systems, Inc. Atomic layer deposition system and method
US6564824B2 (en) 2001-04-13 2003-05-20 Flowmatrix, Inc. Mass flow meter systems and methods
US20020170487A1 (en) 2001-05-18 2002-11-21 Raanan Zehavi Pre-coated silicon fixtures used in a high temperature process
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US20020195201A1 (en) 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
SG104976A1 (en) 2001-07-13 2004-07-30 Asml Us Inc Modular injector and exhaust assembly
US20030027428A1 (en) 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6435865B1 (en) 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
JP2003045864A (en) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc Substrate processing system
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6573199B2 (en) * 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
US6888639B2 (en) 2001-09-24 2005-05-03 Applied Materials, Inc. In-situ film thickness measurement using spectral interference at grazing incidence
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
KR100431657B1 (en) 2001-09-25 2004-05-17 삼성전자주식회사 Method and apparatus for processing a wafer, method and apparatus for etching a wafer
KR100434493B1 (en) 2001-10-05 2004-06-05 삼성전자주식회사 Apparatus for atomic layer deposition and method for operating the same
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
DE60204043T2 (en) 2001-10-15 2006-01-19 Micron Technology, Inc. DEVICE AND METHOD FOR SEPARATING ATOMIC LAYERS
US6666982B2 (en) 2001-10-22 2003-12-23 Tokyo Electron Limited Protection of dielectric window in inductively coupled plasma generation
WO2003035927A2 (en) 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6686594B2 (en) 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
KR100450068B1 (en) 2001-11-23 2004-09-24 주성엔지니어링(주) Multi-sectored flat board type showerhead used in CVD apparatus
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
JP3969081B2 (en) 2001-12-14 2007-08-29 東京エレクトロン株式会社 Plasma processing equipment
US6877726B1 (en) 2001-12-19 2005-04-12 John R. Rindt Saturation vessel for use in the treatment of waste water
US6800172B2 (en) 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
DE10208450B4 (en) * 2002-02-27 2004-09-16 Infineon Technologies Ag Process for the deposition of thin layers by means of ALD / CVD processes in connection with fast thermal processes
US6730367B2 (en) * 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
KR100863782B1 (en) 2002-03-08 2008-10-16 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus and substrate processing method
US6827974B2 (en) 2002-03-29 2004-12-07 Pilkington North America, Inc. Method and apparatus for preparing vaporized reactants for chemical vapor deposition
KR100829327B1 (en) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and reaction tube
US6845734B2 (en) 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
KR20030081144A (en) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US20030192645A1 (en) 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6858264B2 (en) 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6861094B2 (en) 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
EP1363164B1 (en) * 2002-05-16 2015-04-29 NaWoTec GmbH Procedure for etching of materials at the surface with focussed electron beam induced chemical reactions at said surface
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
TWI249651B (en) * 2002-06-14 2006-02-21 Asml Netherlands Bv EUV lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer, device manufacturing method and device manufactured there
US6936279B2 (en) 2002-06-18 2005-08-30 Zeavision Llc Microcrystalline zeaxanthin with high bioavailability in oily carrier formulations
US7118783B2 (en) 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6936086B2 (en) 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US6849131B2 (en) 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace
US20040094095A1 (en) 2002-11-19 2004-05-20 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate holder assembly
US7494560B2 (en) 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
CN100418187C (en) 2003-02-07 2008-09-10 东京毅力科创株式会社 Plasma processing device, annular element and plasma processing method
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
JP4734231B2 (en) 2003-03-14 2011-07-27 アイクストロン・インコーポレーテッド Method and apparatus for improving cycle time of atomic layer deposition
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20040226516A1 (en) 2003-05-13 2004-11-18 Daniel Timothy J. Wafer pedestal cover
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20050048742A1 (en) 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7311947B2 (en) * 2003-10-10 2007-12-25 Micron Technology, Inc. Laser assisted material deposition
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7584942B2 (en) 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050249873A1 (en) 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060134345A1 (en) 2004-12-20 2006-06-22 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces
US20060165873A1 (en) 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7389023B2 (en) * 2005-03-15 2008-06-17 Hewlett-Packard Development Company, L.P. Method and apparatus for forming a photonic crystal
US20060237138A1 (en) 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8076125B2 (en) * 2005-11-15 2011-12-13 Worcester Polytechnic Institute Imagewise patterning of films and devices comprising the same
US7732119B2 (en) * 2007-10-10 2010-06-08 International Business Machines Corporation Photosensitive self-assembled monolayer for selective placement of hydrophilic structures
KR101865644B1 (en) * 2008-11-07 2018-06-08 삭티3, 인크. A method for manufacture and structure of multiple electrochemistries and energy gathering components within a unified structure

Also Published As

Publication number Publication date
US8133554B2 (en) 2012-03-13
US9023436B2 (en) 2015-05-05
US20050249887A1 (en) 2005-11-10
US20120171389A1 (en) 2012-07-05

Similar Documents

Publication Publication Date Title
US20150247236A1 (en) Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) Reactors, systems and methods for depositing thin films onto microfeature workpieces
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
US6845734B2 (en) Deposition apparatuses configured for utilizing phased microwave radiation
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US7056806B2 (en) Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7923069B2 (en) Multi-station deposition apparatus and method
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7427425B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
EP1125321B1 (en) Chemical deposition reactor and method of forming a thin film using the same
US7399499B2 (en) Methods of gas delivery for deposition processes and methods of depositing material on a substrate
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050039686A1 (en) Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20130243971A1 (en) Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
TW202143291A (en) Apparatus for processing substrate, method for preparing the same, and method for processing substrate
KR20070006179A (en) Apparatus of forming a layer for manufacturing a semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION