US20150167162A1 - Apparatus and method for film formation - Google Patents

Apparatus and method for film formation Download PDF

Info

Publication number
US20150167162A1
US20150167162A1 US14/413,587 US201314413587A US2015167162A1 US 20150167162 A1 US20150167162 A1 US 20150167162A1 US 201314413587 A US201314413587 A US 201314413587A US 2015167162 A1 US2015167162 A1 US 2015167162A1
Authority
US
United States
Prior art keywords
reagent
inlet
plasma
group
growth chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/413,587
Other languages
English (en)
Inventor
Satyanarayan Barik
Marie-Pierre Francoise Wintrebert ep Fouquet
Ian Mann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Gallium Enterprises Pty Ltd
Original Assignee
Gallium Enterprises Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AU2012903023A external-priority patent/AU2012903023A0/en
Application filed by Gallium Enterprises Pty Ltd filed Critical Gallium Enterprises Pty Ltd
Assigned to GALLIUM ENTERPRISES PTY LTD reassignment GALLIUM ENTERPRISES PTY LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BARIK, SATYANARAYAN, MANN, IAN, WINTREBERT EP FOUQUET, MARIE-PIERRE FRANCOISE
Publication of US20150167162A1 publication Critical patent/US20150167162A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/0632Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with gallium, indium or thallium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B1/00Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors
    • H01B1/06Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors mainly consisting of other non-metallic substances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Definitions

  • the present invention relates to an apparatus and method for the production of films by chemical vapour deposition.
  • Metal or metalloid containing films such as gallium nitride (GaN) films, have applications in a range of devices from light emitting diodes (LEDs) to ultraviolet detectors to transistor devices.
  • LEDs light emitting diodes
  • MBE molecular beam epitaxy
  • MOCVD metal organic chemical vapour deposition
  • RPECVD remote plasma enhanced chemical vapour deposition
  • a shower head or lattice design may be employed to obtain an even distribution of metal organic reagent across the substrate while a baffle may be used to enhance the even distribution of the plasma stream of active nitrogen species.
  • a baffle design is disclosed in WO/2010/091470, the disclosure of which is hereby incorporated in its entirety, wherein an ‘inverse pagoda’ style of baffle is used to diffuse and filter the plasma stream.
  • the reagent e.g. metal organic, distribution lattice and plasma channel with baffle are generally centred over the substrate location to thereby provide a generally homogeneous distribution of both materials over the entire substrate surface.
  • This form of chamber design is not so effective when it is desired to grow a plurality of films within the same growth chamber to improve productivity.
  • ALD atomic layer deposition
  • ALD is of interest due to the ability to produce thin uniform films with a high degree of control over film thickness and composition.
  • One of the drawbacks of ALD is the amount of time required to grow a useful film since only a monolayer may be deposited in each complete deposition cycle. The time required for each cycle is limited by the switching speed of the reagent release valves as well as the time taken to purge after each half cycle and rotate the substrate into place. This results in each full cycle taking from 0.5 to a few seconds, further contributing to the slow production.
  • the purging cycle is not entirely effective which often means that an amount of the metal organic reagent, such as trimethylgallium (TMG), remains in the growth chamber during pulsing of the plasma containing the active second reagent, such as nitrogen. This may result in carbon impurities being incorporated into the growing film, thereby reducing its quality.
  • TMG trimethylgallium
  • MOCVD approaches have been relatively more successful than certain other CVD techniques at lowering oxygen incorporation into the growing thin films but levels of carbon incorporation are not ideal. More particularly, MOCVD often involves growth temperatures of about 1000° C. to 1200° C., which thereby results in high equipment costs and rules out the use of temperature sensitive preferred substrates for film deposition.
  • the invention resides in an RPCVD apparatus for forming a film, the apparatus including a growth chamber comprising:
  • the additional reagent inlet is an ammonia inlet.
  • the Group VA plasma inlet, the Group IIIA reagent inlet and the additional reagent inlet open into the growth chamber at a distance between about 1 cm to about 30 cm from a growth surface of the one or more substrates. More preferably, between about 1 to about 20 cm or 1 to about 10 cm.
  • a ceiling of the growth chamber is located less than about 30 cm vertically above the location of the substrates, more preferably less than about 25 cm, even more preferably less than about 20 cm, still more preferably less than about 10 cm. Values of 5 cm and 7.5 cm may be useful with 3 cm to 4 cm as the lower end values.
  • At least one of the Group VA plasma inlet, the Group IIIA reagent inlet and the additional reagent inlet end flush with the ceiling of the growth chamber which is located between about 1 to about 30 cm, 1 to 20 cm, 1 to 10 cm vertically above a growth surface of the substrates, preferably, between 4 to 15 cm, 4 to 10 cm, 4 to 8 cm.
  • an opening of the additional reagent inlet opens into the growth chamber in close proximity to the one or more substrates.
  • the additional reagent, inlet may extend downwardly from the ceiling of the growth chamber to end in close proximity to the growth surface of the one or more substrates.
  • the additional reagent inlet opens into the growth chamber through a side wall thereof at a height suitable to enable a flow of additional reagent entering therethrough to have a flow path passing over and substantially adjacent to the growth surface of the one or more substrates.
  • the direct flow path between the Group VA plasma inlet and the one or more substrates extends to an unimpeded path between a plasma generator for generating the Group VA plasma and the one or more substrates.
  • the Group VA plasma inlet and the Group IIIA reagent inlet end flush with a ceiling and/or side wall of the growth chamber through which they extend.
  • the first deposition zone is substantially isolated from the second deposition zone.
  • rotation of the substrate holder causes the one or more substrates to pass sequentially from the first deposition zone to the second deposition zone.
  • the substrate holder is of a turntable design whereby it rotates around a central pivot and is provided with a plurality of recesses, each adapted to hold a substrate, around its periphery.
  • the Group VA plasma inlet and the Group IIIA reagent inlet may be located centrally within the growth chamber.
  • the Group VA plasma inlet and the Group IIIA reagent inlet are located centrally within the growth chamber one or both thereof may be provided with a flow control device to direct the corresponding plasma or reagent into the appropriate first or second deposition zone.
  • the flow control device may be a flow barrier blocking one or more reagent flow paths within the Group VA plasma inlet or the Group IIIA reagent inlet or a directing portion, such as a shroud, continuous with the first or second reagent inlet.
  • the apparatus may further comprise a baffle associated with the Group VA plasma inlet such that the plasma substantially passes therethrough.
  • the baffle may comprise the flow control device which may be a flow barrier blocking one or more outlets of the baffle.
  • the additional reagent inlet opens into the growth chamber substantially adjacent to the opening of the Group IIIA reagent inlet to promote mixing of said reagents prior to their contacting the one or more substrates.
  • the Group VA plasma inlet is in fluid communication with a plasma generator producing a Group VA plasma comprising an active species.
  • the Group, VA plasma is a nitrogen plasma comprising active nitrogen species.
  • the Group IIIA reagent is a Group IIIA metal organic reagent.
  • the Group VA plasma inlet and the Group IIIA reagent inlet are located peripherally within the growth chamber.
  • the Group VA plasma inlet and the Group IIIA reagent inlet are located substantially at opposite ends of the growth chamber.
  • the growth chamber may comprise one or more structures associated with the additional reagent inlet and/or the Group IIIA reagent inlet to promote mixing of said reagents immediately prior to their contacting the one or more substrates.
  • the apparatus may further comprise one or more heating devices to heat the additional reagent inlet and/or the Group IIIA reagent inlet prior to entering the growth chamber.
  • the invention resides in a method of forming a thin film on a substrate by RPCVD including the steps of:
  • the additional reagent is ammonia.
  • the additional reagent is introduced into the second deposition zone substantially adjacent the opening of the Group IIIA inlet.
  • the additional reagent is introduced into the growth chamber through a side wall thereof.
  • the additional reagent is introduced into the growth chamber to form a substantially horizontal flow path passing over and substantially adjacent to the growth surface of the substrate.
  • the additional reagent and the Group IIIA reagent are preferably being introduced into the growth chamber simultaneously.
  • the Group IIIA reagent is a Group IIIA metal organic reagent.
  • the Group IIIA metal organic reagent is a Group IIIA metal alkyl reagent.
  • the Group IIIA metal alkyl reagent is selected from the group consisting of trimethyigallium, triethylgallium, trimethylindium and trimethylaluminium.
  • the method may further include the step of heating one or more of the reagents prior to their entering the growth chamber.
  • the method may further include the step of promoting the mixing of the metal organic reagent and the additional reagent adjacent the one or more substrates.
  • the Group VA plasma inlet is in fluid communication with a plasma generator.
  • the Group VA plasma is a nitrogen plasma comprising active nitrogen species.
  • the isolation of the deposition zones substantially prevents the mixing of the Group VA plasma and Group IIIA reagent.
  • the method may further include the step of controlling the flow of one or more of the Group VA plasma or Group IIIA reagent upon exiting the associated inlet to direct that flow to a desired deposition zone.
  • the method may further include the step of controlling the temperature to be between about 400 to about 1200° C., preferably between about 500 to about 1000° C. (inclusive of a temperature of about 500° C., 600° C., 700° C., 800° C., 900° C. or 1000° C.), more preferably between about 500 to about 850° C.
  • the method may also include the step of controlling the power of the plasma generator to be between about 500 W to about 5000 W from a single source. This may be combined with a growth pressure of 2-5 torr and a nitrogen plasma flow of 2000-3000 sccm with an ammonia flow of about 15 to about 1500 sccm, preferably about 20 to about 200 sccm, preferably about 20 to about 100 sccm, more preferably about 20 to about 50 sccm.
  • the growth pressure may be between 2-5 torr, 2-4 torr or about 3 torr.
  • the power of the plasma generator is between about 100 watts to about 3000 watts with a nitrogen flow rate of 1000-3000 sccm extending to 100-20000 sccm in a commercial unit.
  • a preferred metal organic reagent flow rate is 1200-2000 sccm which may extend to 100-10000 sccm in a commercial unit.
  • a value for the plasma generator power of about 500 to 5000 W, 500 to 4000, 500 to 3000, 500 to 2000, 500 to 1000, 500 to 900 W, 500 to 800 W, 600 to 1000 W, 600 to 900 W, 600 to 800 W, 700 to 1000 W, 700 to 900 W and preferably about 800 W is preferred and each value or range of which may be independently coupled with an ammonia flow rate of any one of between 15 to 1500 sccm.
  • ammonia flows of 10 to 75, 10 to 60, 10 to 50 10 to 40, 10 to 30, 15 to 75, 15 to 60 15 to 50, 15 to 40, 15 to 35, 15 to 30, 20 to 75, 20 to 60, 20 to 50, 20 to 40, 20 to 30, including values of about 15, 20, 25, 30, 35, 40, 45 and 50 sccm are particularly useful in lowering carbon incorporation, however, in moving to commercial scales higher powers and multiple plasma sites are envisaged as being useful.
  • the invention resides in a method of forming a thin film having a carbon impurity content of less than about 5E+17 atom/cm 3 , on a substrate by RPCVD including the steps of:
  • the carbon impurity content is less than about 3E+17 atom/cm 3 , even more preferably less than about 2E+17 atom/cm 3 , yet more preferably less than or about 1E+17 atom/cm 3 .
  • a lower limit may be considered to be about the SIMS detection limit for carbon impurities in such films.
  • the thin film also has an oxygen impurity content of less than about 8E+17 atom/cm 3 , even more preferably less than about 6E+17 atom/cm 3 , yet more preferably less than about 4E+17 atom/cm 3 , still more preferably less than about 2E+17 atom/cm 3 , or even less than or about 1E+17 atom/cm 3 .
  • a lower limit may be considered to be about the SIMS detection limit for oxygen impurities in such films.
  • the invention resides in a film made by the method of the second or third aspects.
  • the invention resides in use of a film of the fourth aspect in a semiconductor device.
  • FIG. 1 shows a schematic representation of a typical RPCVD apparatus for depositing a metal nitride film on a substrate
  • FIG. 2 shows a perspective sectional view of one embodiment of an apparatus for depositing a metal nitride film on a substrate when employing an inverse pagoda baffle and multiple substrates;
  • FIG. 3 shows a schematic sectional representation of one embodiment of an apparatus for forming a film according to the present invention
  • FIG. 4 shows a schematic sectional representation of one preferred embodiment of an apparatus for forming a film according to the present invention
  • FIG. 5 shows a partial perspective sectional view of the apparatus for forming a film, as represented in FIG. 4 ;
  • FIG. 6 shows a schematic sectional representation of a highly preferred embodiment of an apparatus for forming a film according to the present invention
  • FIG. 7 shows a partial perspective sectional view of the apparatus for forming a film, as represented in FIG. 6 ;
  • FIG. 8 shows a partial perspective sectional view of an alternative embodiment of the apparatus for forming a film to that shown in FIG. 7 ;
  • FIG. 9 shows a partial perspective sectional view of an alternative embodiment of the apparatus for forming a film to that shown in FIG. 7 ;
  • FIG. 10 shows a schematic representation of an RPCVD apparatus for depositing a film on a substrate, according to another embodiment of the invention.
  • FIG. 11 shows a schematic representation of an alternative RPCVD apparatus for depositing a film on a substrate to that shown in FIG. 10 ;
  • FIG. 12 shows a schematic representation of a further alternative RPCVD apparatus for depositing a film on a substrate to that shown in FIG. 10 ;
  • FIG. 13 shows a schematic representation of yet a further alternative RPCVD apparatus for depositing a film on a substrate to that shown in FIG. 10 ;
  • FIG. 14 shows a partial perspective sectional view of an apparatus for forming a film, according to a further embodiment of the present invention.
  • FIG. 15 is a graphical representation of the carbon levels incorporated into films under varying conditions
  • FIG. 16 is a SIMS graphical analysis of the typical impurities found in a film produced by a method and apparatus of the invention and an underlying GaN template;
  • FIG. 17 is a SIMS graphical analysis of the level of carbon, as an impurity, found in a film produced by a method and apparatus of the invention on an underlying GaN template with varying ammonia flow rates;
  • FIG. 18 is a SIMS graphical analysis of the level of oxygen, as an impurity, found in a film produced by a method and apparatus of the invention on an underlying GaN template with varying ammonia flow rates.
  • the present inventors have identified a particular RPCVD apparatus and process conditions for the production of high quality films which results in improvements to the film growth rate and growth control, by comparison to standard ALD techniques and other CVD processes, and, importantly, which provides for a surprising level of reduction in oxygen and carbon-based film impurities due to reagent side reactions.
  • the reagents which may be employed with the present apparatus and method, and hence the nature of the films which can be formed, are not particularly limited.
  • the embodiments discussed herein generally employ a nitrogen plasma and a metal organic (typically a gallium containing metal organic such as trimethylgallium) as the reagents, the utility of the present invention is not so limited.
  • the Group IIIA (otherwise known as Group 13 under the current IUPAC system) reagent may comprise an element which is selected from the group consisting of boron (B), aluminium (Al), gallium (Ga), indium (In) or thallium (TI).
  • the Group VA (otherwise known as Group 15 under the current IUPAC system) plasma may be generated from any suitable reagent containing a Group VA element selected from the group consisting of nitrogen (N), phosphorus (P), arsenic (As), antimony (Sb) and bismuth (Bi).
  • a Group VA element selected from the group consisting of nitrogen (N), phosphorus (P), arsenic (As), antimony (Sb) and bismuth (Bi).
  • deposition zone as used herein is used to refer to a distinct region, section or segment of the growth chamber into which one or more reagents are introduced. Individual depositions zones, such as first and second deposition zones, are isolated one from the other such that a substrate or growing film will only be substantially exposed to a particular reagent introduced only into one deposition zone when the substrate or growing film actually enters that deposition zone. The separation or isolation of deposition zones may be spatial only or may be effected by partial or complete physical barriers.
  • the reagents employed will be trimethylgallium, a nitrogen plasma and ammonia but the person skilled in the art will appreciate the principles disclosed herein could be applied mutatis mutandis to other reagent combinations.
  • the present inventors have postulated that an experimentally observed dramatic reduction in the levels of oxygen and carbon incorporated into gallium nitride films produced in an RPCVD apparatus are due to a choice of conditions, including, primarily, the supply of an additional gaseous reagent, preferably ammonia gas, to mix with the Group IIIA reagent and preferably in close proximity to the substrate, which favours formation of a trimethylgallium:ammonia Lewis acid:base adduct.
  • This adduct breaks down to form gallium nitride with the release of methane gas which is not incorporated into the growing film to the same extent as methyl radicals would be.
  • a molecule of trimethylgallium injected into the growth chamber will decompose thermally to finally produce a gallium atom and three methyl radicals.
  • the gallium will react with the nitrogen source, which may be ammonia or a nitrogen plasma, to form the GaN film.
  • the reactive methyl radicals are often incorporated into the growing film as an impurity thereby increasing strain and lowering the overall quality of the film product.
  • Additional hydrogen-containing reagents, such as ammonia gas, are typically only introduced with the plasma stream and the benefits produced herein are not seen with such an approach.
  • the inventors have further postulated that such adduct formation and preference of the formation of methane over methyl radicals can be encouraged by minimising the extent of the reactions which occur in the gas phase i.e. in the upper and central regions of the growth chamber and instead maximising the mixing of the reagents only in the immediate vicinity of the substrates.
  • This can be achieved by introducing the ammonia or other additional reagent into the growth chamber with the Group IIA reagent in a manner which causes it to be present or available only adjacent the substrates and hence the growth surface of the growing film.
  • FIG. 1 shows a schematic representation of a typical RPCVD apparatus 100 for depositing a Group IIIA nitride film on a substrate.
  • the apparatus 100 comprises a growth chamber 105 inside which film growth will occur.
  • a substrate 110 which is supported by a substrate holder 115 which may include or be connected to a heater to allow the substrate 110 to be adjusted to growth temperatures.
  • a plasma inlet 120 located at a distance from the substrate 110 , allows for entry of plasma 130 formed in the high frequency generator 125 into the growth chamber 105 .
  • the high frequency generator 125 acts on a region of the apparatus 100 receiving nitrogen from a nitrogen source 135 .
  • a Group IIIA reagent source which is usually a Group IIIA metal organic reagent source 140 , which is usually also at a distance from the substrate 110 i.e. not adjacent thereto, introduces the metal organic into a flow path 145 which delivers the reagent to a metal organic injector 150 for dispersion into the growth chamber 105 .
  • the plasma enters an area of the growth chamber 105 directly above the metal organic injector 150 and so, in operation, the plasma containing active neutral nitrogen species and the metal organic reagent mix and react to form the particular metal nitride, such as gallium nitride, which is deposited on the substrate to form the film. Excess reagents, carrier gases, contaminants etc are removed via a waste outlet 155 .
  • FIG. 2 shows a perspective sectional view of one embodiment of an apparatus 200 for depositing a metal nitride film on a substrate which essentially corresponds to the simple representation shown in FIG. 1 but with the use of a baffle and multiple substrates.
  • the apparatus 200 comprises a growth chamber 205 partially formed from outer housing 210 .
  • a plasma generator 215 receives nitrogen through a nitrogen inlet 220 and the active nitrogen plasma formed passes through plasma inlet 225 , which once again is remote from the substrates, and into the growth chamber 205 via a baffle 230 , which in the embodiment shown takes the form of an inverse pagoda style baffle as described in WO/2010/091470.
  • the plasma passes through the baffle 230 and is evenly distributed by its concentric ring-like structure.
  • the distributed plasma flow then passes over a metal organic injector 235 where the metal organic reagent is introduced and mixes with the plasma.
  • the metal nitride formed will then deposit on one or more of substrates 240 located on a substrate holder 245 .
  • the substrate holder 245 may be of a turn table design and so may be rotating at high speed throughout the deposition process. Waste is removed via outlet 250 .
  • FIG. 3 shows a schematic representation of one embodiment of an apparatus 300 for forming a film, according to the present invention.
  • the actual components of the apparatus 300 are much the same as those displayed in FIG. 2 but with two notable exceptions being that one region of the baffle is blocked to plasma flow and a number of ports of the Group IIA reagent inlet (referred to herein as the metal organic injector) are either removed or closed to reagent flow.
  • the metal organic injector a number of ports of the Group IIA reagent inlet
  • the apparatus 300 shown in FIG. 3 comprises a growth chamber 305 having a plasma inlet 310 to receive plasma flow comprising active neutral nitrogen species from a plasma generator 315 .
  • FIG. 3 is merely a schematic representation, the plasma inlet 310 in this embodiment will be located physically closer to the level of the substrates than in the prior art apparatus.
  • the plasma will flow into a baffle 320 which may be of any suitable design but in the embodiment shown has an inverse pagoda shape, as shown in FIG. 2 .
  • the baffle is provided with a flow barrier 325 formed around one side of the baffle 320 so as to prevent plasma from exiting along that side. This will result in the plasma flow being directed towards the opposite side of the growth chamber 305 from the side of the baffle 320 bearing the flow barrier 325 .
  • the active nitrogen species then pass by a Group IIIA reagent injector in the form of metal organic reagent (e.g. trimethylgallium) injector 330 .
  • metal organic reagent e.g. trimethylgallium
  • those circles which are black inside represent ports or valves of the metal organic reagent injector 330 which are open to reagent flow i.e. they are open ports 335 while those circles which are white inside (not filled) represent ports or valves of the metal organic reagent injector 330 which are closed to reagent flow i.e. they are closed ports 340 .
  • the parts of the metal organic reagent injector 330 which are represented as closed may simply not be present in the apparatus 300 and so only those regions of the growth chamber 305 having the open ports 335 will actually be provided with a metal organic reagent injector 330 structure.
  • the substrate holder 350 may hold any desired number of wafers, for example, from 2 to 20 individual substrates, preferably 3 to 10, more preferably 5, 6 or 7.
  • the substrates may have a crystal structure that is suitable for growth of the particular film desired.
  • the substrates 345 may comprise sapphire, SiC, silica, soda lime glass, borosilicate glass, Pyrex®, silicon, glass, synthetic sapphire, quartz, zinc oxide, nitride coated substrates and other materials as are well known in the art including free standing bulk semiconductor substrates and nitride templates.
  • the substrate holder is adapted to rotate relative to the plasma inlet 310 and metal organic reagent injector 330 thereby controlling growth and deposition uniformity. Waste materials may be removed through waste outlet 355 .
  • the design of the apparatus 300 has thus effected a physical separation of the regions into which plasma and metal organic reagent are released into a first deposition zone and a second deposition zone, respectively, which are substantially isolated from one another. It will be appreciated that rotation of the substrate holder 350 causes the substrates 345 to pass sequentially from the first deposition zone to the second deposition zone in a repetitive, continuous manner to thereby be exposed to the plasma and metal organic reagents, one after the other.
  • each substrate 345 to the metal organic reagent and the active nitrogen species will result in formation of subsequent layers of a film, much in the manner of ALD.
  • the formation of separate deposition zones means that the delays experienced in ALD in both waiting for valves to be switched and the removal of one reagent by a purge gas before introduction of the second reagent, are avoided.
  • the growing surface of the film is exposed to each reagent with a minimum of downtime in between due to the ability of the substrate holder 350 to rotate at very high speeds. This greatly accelerates the growth of the films while maintaining control over sample growth.
  • the substrate holder 350 may be adapted to rotate continuously.
  • the substrate holder is capable of rotating at speeds of between 10-2000 rpm.
  • a preferred rotation speed may be between 25 to 100 rpm, more preferably about 50 rpm.
  • film growth will be controlled by a combination of the speed of rotation of the substrate holder 350 and the reagent flow rates in the deposition chamber. Higher rotation speeds of the substrate holder 350 will require a higher flow rate of reagents to ensure an overall increase in the growth rate of the film is produced.
  • the apparatus 300 may in fact comprise multiples of each component.
  • the apparatus 300 may in fact comprise multiples of each component.
  • the apparatus 300 when looking down on the growth chamber 305 from above the circular substrate holder 350 could be imagined to be split into quadrants with a plasma inlet and associated baffle, if required, sitting above two adjacent or diagonally opposite quadrants and the same relationship for two distinct regions of reagent injector 330 which are open to release of metal organic or other reagent.
  • baffle 320 is not an essential feature but may be preferred, under certain process conditions, to prevent or reduce etching due to active nitrogen species which may have relatively high kinetic and/or potential energies. If the baffle 320 was not present in FIG. 3 then some form of structure, such as a shroud, could be used in its place to direct and contain plasma flow to one isolated deposition zone. When the baffle 320 is employed then it may take a variety of forms other than the inverse pagoda style shown which are well known in the art such as a plate with tortured multiple pathways therethrough shower head design etc. Whatever alternate style of baffle is ultimately used it may have either closed pathways or some form of flow barrier or flow directing means to ensure the plasma passes only into a discrete deposition zone and substantially avoids mixing with the other reagent.
  • the apparatus 300 may further comprise one or more heaters to heat the growth chamber and/or one of the reagent inlets. This may be useful to promote increased reaction rates, assist with quality of the growing film or to break or otherwise activate one or more of the reagents before exposure to the substrates.
  • the present apparatus and method are not particularly limited in the type of reagents suitable for use therein. Any reagents which are suitable for use in ALD may be appropriate. A wide range of reagent classes including, nitrogen plasma, nitrogen/hydrogen plasma, ammonia plasma and metal organics may be suitable. When a metal organic reagent is used then preferred examples include alkyl Group IIIA reagents such as but not limited to one or more of trimethylgallium, trimethylindium, trimethylaluminium as well as employing various well known Mg, Si and Zn precursors as dopant sources.
  • alkyl Group IIIA reagents such as but not limited to one or more of trimethylgallium, trimethylindium, trimethylaluminium as well as employing various well known Mg, Si and Zn precursors as dopant sources.
  • FIG. 4 shows a schematic representation of one embodiment of an apparatus 400 for forming a film, according to the present invention.
  • a growth chamber 405 is provided with a plasma inlet 410 , as for FIG. 3 being located relatively close to the substrates, which is continuous with a plasma generator 415 .
  • Plasma introduced into the growth chamber 405 will pass through a baffle 420 , which again in the embodiment shown is an inverse pagoda style baffle 420 , before passing by metal organic reagent injector 425 which has closed ports 430 (circles with white inside) and open ports 435 (circles with black inside).
  • the regions of the metal organic reagent injector 425 having closed ports 430 may simply not be present.
  • a number of substrates 440 are placed upon a substrate holder 445 which rotates relative to the growth chamber 405 and unwanted reactants and reaction products are vented via waste outlet 450 .
  • FIG. 4 represents a radical shift in the growth chamber 405 design by comparison to standard ALD set ups.
  • the plasma inlet 410 and baffle 420 have been shifted to the left hand side of the chamber 405 to form a discrete first deposition zone which is substantially separate from the second deposition zone formed under and adjacent the open ports 435 of the reagent injector 425 .
  • FIG. 4 shows a partial perspective sectional view of the apparatus 400 .
  • FIG. 5 shows a partial perspective sectional view of the apparatus 400 .
  • many of the components of the apparatus 500 such as the housing and high frequency generator, have been removed to focus on the key relationship between plasma inlet 505 , baffle 510 and metal organic reagent injector 515 .
  • the plasma inlet 505 and associated baffle 510 are located peripherally to sit more or less directly above, i.e. adjacent, a first substrate 520 which can therefore be described as being within a first deposition zone receiving active nitrogen species.
  • the baffle illustrated may be replaced with a showerhead or shroud or similar distribution system common to the field.
  • the reagent injector 515 is only disposed on the opposite side of the chamber to the plasma inlet 505 , generally above a second substrate 525 and located within a second deposition zone receiving only metal organic reagent, for example trimethylgallium and/or trimethylindium.
  • the first substrate 520 will have been contacted with a first reagent (in this case active nitrogen species from the plasma) before proceeding out of the first deposition zone and entering the second deposition zone to then be contacted by the second reagent (in this case the metal organic).
  • a first reagent in this case active nitrogen species from the plasma
  • the second reagent in this case the metal organic
  • Alternating the exposure of the substrates to reagents by controlling the speed of rotation of the substrate holder 530 provides for finer control than the rotating, pulsing and purging arrangement employed in ALD.
  • the plasma and metal organic reagent inlets are shown as being vertically above the substrates it will be appreciated by the person skilled in the art that this is not necessarily the case.
  • the plasma inlet may inject plasma into the growth chamber from the side of the housing i.e. the plasma is injected parallel to the substrates and then proceeds to deposit down upon them.
  • References herein to a plasma inlet or metal organic reagent inlet or additional gas inlet are meant to address the point at which the plasma or plasma activated reactant or metal organic reagent or additional gas enters the reaction chamber proper.
  • the two streams of reagents do not, to any notable degree, come into contact with one another.
  • the physical separation of the reagent inlets assists with minimising reagent mixing such that the amount of oxygen and carbon-based impurities, which may be formed by such mixing and incorporated into the growing films, is reduced compared with a standard ALD or other CVD approach.
  • FIG. 6 shows a schematic sectional representation of one preferred embodiment of an apparatus 600 for forming a film according to the present invention while FIG. 7 is a partial perspective sectional view of the same apparatus 600 .
  • the RPCVD apparatus 600 comprises a growth chamber 605 which is provided with a plasma inlet 610 . It is clear from FIGS. 6 and 7 that the plasma inlet 610 is physically adjacent to the level of the substrates.
  • the plasma inlet 610 is continuous with a plasma generator 615 (detail not shown).
  • the growth chamber 605 is also provided with a Group IIIA reagent inlet and, more specifically, in the embodiment discussed herein, a metal organic reagent inlet 620 and an additional reagent inlet which may be a hydrazine inlet, a di-methyl hydrazine inlet or a hydrogen plasma inlet but is preferably an ammonia inlet 625 .
  • a metal organic reagent inlet 620 and an additional reagent inlet which may be a hydrazine inlet, a di-methyl hydrazine inlet or a hydrogen plasma inlet but is preferably an ammonia inlet 625 .
  • the plasma inlet 610 and metal organic reagent inlet 620 are physically distant forming a first and second deposition zone, respectively, with substrates 630 arranged within each zone by a substrate holder 635 which rotates relative to the growth chamber 605 .
  • Unwanted reactants and reaction products are vented via waste outlet 640 to which access is provided by gap 645 provided between the circumference of the substrate holder 635 and the inner walls of the growth chamber 605 .
  • the ammonia inlet 625 is immediately adjacent the metal organic reagent inlet 620 and so the ammonia will be introduced into the second deposition zone along with the metal organic reagent.
  • Plasma introduced into the growth chamber 605 will directly contact the substrate 630 placed in the first deposition zone as no baffle, shroud or like blocking or distributing device is in place in the embodiment shown.
  • the present inventors have found that, when using such an apparatus under conditions of relatively low power of the plasma generator (around 500 W to 2500 W) and temperature (about 700° C. to 800° C.) no significant degree of etching was observed.
  • the use of this arrangement with an injection of between about 15 to about 50 sccm ammonia resulted in a substantial reduction of the levels of oxygen and carbon incorporated into the film product.
  • the method may also include the step of controlling the power of the plasma generator to be between about 500 W to about 5000 W from a single source. This range would be suitable with a growth pressure of 2-3 torr, a nitrogen plasma flow of 2000-3000 sccm and an ammonia flow of between about 15-1500 sccm.
  • the power of the plasma generator is between about 100 watts to about 5000 watts, preferably about 500 to about 3000 W with a nitrogen plasma flow rate of 1000-3000 sccm extending to 100-20000 sccm in a commercial unit.
  • a preferred metal organic reagent flow rate is 1200-2000 sccm which may extend to 100-10000 sccm in a commercial unit.
  • a value for the plasma generator power of about 500 to 1000 W, 500 to 900 W, 500 to 800 W, 600 to 1000 W, 600 to 900 W, 600 to 800 W, 700 to 1000 W, 700 to 900 W and preferably about 800 W is preferred.
  • Such power levels may be independently coupled with an ammonia injection (in sccm) of between 15 to 1500 sccm. Ranges of between about 15 to 200, preferably 15 to 150, 15 to 100, 15 to 75, 15 to 60, 15 to 50, 15 to 40, 15 to 30, 20 to 150, 20 to 100, 20 to 75, 20 to 60, 20 to 50, 20 to 40, 20 to 35, 20 to 30, 25 to 150, 25 to 100, 25 to 75, 25 to 60, 25 to 50, 25 to 40, 25 to 30, including values of about 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 65, 70, 75, 80, 85, 90, 95, 100, 120, 140, 160, 180 and 200 sccm have been found to be particularly useful in lowering carbon incorporation for relatively small growth chamber sizes, for example, of dimensions in the order of 7 ⁇ 2′′.
  • FIGS. 8 and 9 show partial perspective sectional views of alternative embodiments of the apparatus for forming a film to that shown in FIG. 7 .
  • Like parts have been given like numbers between FIGS. 7 to 9 and it will be appreciated that the most important difference is in the placement and/or design of the additional reagent inlet 625 , which is preferably an ammonia gas inlet.
  • FIG. 8 also demonstrates an embodiment of the apparatus 600 wherein the waste outlet 640 is actually provided within the hollow centre of the axis about which the substrate holder 635 rotates.
  • FIG. 8 shows the ammonia reagent inlet 625 placed behind or collinear with the metal organic reagent inlet 620 .
  • FIG. 9 represents a slightly different operational set up in that the ammonia reagent inlet 625 enters the growth chamber 605 from the side and so is at more or less a right angle to the substantially vertical metal organic reagent inlet 620 .
  • the metal organic reagent inlet 620 has been cut away in FIG. 9 to better show the design of the ammonia reagent inlet 625 .
  • the ammonia reagent inlet 625 On approaching the metal organic reagent inlet 620 the ammonia reagent inlet 625 then has a bend such that its terminal portion finishes vertically and in a similar position to that shown in FIG. 8 .
  • the horizontal placement of the ammonia reagent inlet 625 may have operational advantages in use.
  • the plasma inlet 610 , the metal organic reagent inlet 620 and the ammonia reagent inlet 625 all finish flush with the ceiling of the growth chamber 605 .
  • the ceiling will therefore be located at a lower level than in a typical RPCVD apparatus.
  • the ceiling may be located approximately less than 30 cm, preferably less than 25 cm, more preferably less than 20 cm, still more preferably less than about 10 cm vertically above the location of the substrates. Values of about 5 cm and 7.5 cm may be useful with 3 cm to 4 cm as the lower end values.
  • the present inventors postulate that it is important for the minimisation of carbon and oxygen impurities in the final film to minimise reactions which occur in the gas phase above the substrates. Instead, it is preferable to encourage the key film forming reactions to occur on or as close to the actual substrate surface as possible. Directing the reactions to occur on the substrate surface may improve the scavenging of the oxygen and carbon impurities.
  • FIGS. 10 to 14 are further embodiments on the inventive apparatus and method already discussed.
  • FIG. 10 shows a schematic representation of an RPCVD apparatus 1000 for depositing a film on a substrate, according to one embodiment of the invention.
  • the apparatus 1000 comprises a growth chamber 1005 inside which film growth will occur.
  • An exhaust 1010 is provided at a lower extent of the growth chamber 1005 for the removal of excess reagents and waste products.
  • a plasma generator 1015 is located externally to the growth chamber 1005 which may be a high frequency generator acting upon nitrogen received from a nitrogen source (not shown).
  • the nitrogen plasma thereby generated enters the growth chamber 1005 at plasma inlet 1020 which ends flush with the ceiling of the growth chamber 1005 i.e. the plasma inlet 1020 does not, to any significant extent, extend into the interior of the growth chamber 1005 .
  • the plasma inlet 1020 may, if required, open into a baffle, shroud, impeller or the like to modify the flow path and energy of the plasma.
  • baffle may be as described in the applicant's prior PCT publication WO 2010/091470 which is hereby incorporated by way of reference in its entirety.
  • a metal organic reagent source 1025 supplies the metal organic reagent which, in a preferred embodiment, is trimethylgallium (TMG) or triethylgallium (TEG).
  • TMG or TEG enters the growth chamber 1005 via metal organic reagent inlet 1030 which, in the embodiment shown, is located in a side wall of the growth chamber 1005 and ends flush therewith i.e. the metal organic reagent inlet 1030 does not, to any significant extent, extend into the interior of the growth chamber 1005 .
  • a hydrogen-containing, additional reagent source 1035 supplies the additional reagent which, in a preferred embodiment, is ammonia.
  • the ammonia enters the growth chamber 1005 via additional reagent inlet 1040 which, in the embodiment shown, is located in a side wall of the growth chamber 1005 , beneath the location of the metal organic reagent inlet 1030 , and ends flush therewith i.e. the additional reagent inlet 1040 does not, to any significant extent, extend into the interior of the growth chamber 1005 .
  • the additional reagent inlet 1040 enters the growth chamber 1005 through a side wall thereof at a height suitable to enable a flow of additional reagent entering therethrough to have a flow path passing over and substantially adjacent to a growth surface of the substrates 1050 . It is also beneficial that the positioning of the exhaust 1010 is at an opposite end of the growth chamber 1005 to the additional reagent inlet 1040 which further encourages a flow path of the additional reagent which passes over the surface of the substrates 1050 . Thus, the region of injection of the additional reagent and the provision of the exhaust 1010 generally opposite that create an environment whereby the reagent is in constant contact with the growth surface of the substrates 1050 and growing film.
  • the provision of the three plasma/reagent inlets all with ends flush with either the ceiling (the plasma inlet 1020 ) or the side walls (the metal organic reagent inlet 1030 and the additional reagent inlet 1040 ) or a combination thereof avoids the presence of ‘dead spots’ within the growth chamber 1005 . It is preferred that the Group IIIA reagent inlet is flush with the chamber ceiling. It is further preferred that the additional reagent inlet injects the ammonia, or other gas, through entry points, for example view ports, which physically surround the additional reagent inlet to thereby have these two reagents introduced into the growth chamber together to encourage mixing.
  • the substrate holder 1045 may be adapted to support a single substrate 1050 but it is preferred that it is of a design adapted to support multiple substrates 1050 .
  • the substrate holder 1045 is rotatable.
  • the design of the apparatus 1000 shown in FIG. 10 is one preferred embodiment in that the plasma inlet 1020 and metal organic reagent inlet 1030 are substantially separated to thereby avoid any potential degradation of the TMG/TEG or other metal organic by the high energy plasma stream.
  • the ceiling height of the growth chamber 1005 is preferably lowered with respect to a standard RPCVD set up. Suitable heights have been set out previously. This helps minimise undesirable non-adduct forming reactions by minimising the space in which they can occur due to the placing of the plasma and reagent inlets close to the substrates 1050 .
  • the apparatus 1000 may further comprise one or more heating devices to heat the additional reagent inlet 1040 and/or the metal organic reagent inlet 1030 prior to the reagents entering the growth chamber 1005 .
  • the heating devices may take the form of external heaters surrounding the transport members running between the relevant source and its inlet. Simple heating coils or heating tape placed around the piping may suffice.
  • the heating of, particularly, the ammonia introduced above the substrate 1050 surface means that it is introduced in an activated state, in anticipation of adduct formation, in the key reaction zone above the substrates 1050 .
  • the growth chamber 1005 may comprise one or more structures associated with the additional reagent inlet 1040 and/or the metal organic reagent inlet 1030 to promote mixing of said reagents immediately prior to their contacting the one or more substrates 1050 .
  • it may be desirable to generate some turbulence in the flow path of the introduced additional reagent, preferably being ammonia. Since this reagent is introduced to generate a flow path just above the substrates 1050 this ensures rapid and efficient mixing with the TMG or TEG to promote adduct formation prior to contact with the growth surface of the growing film.
  • the structures themselves may take the form of a baffle-like structure, vanes or any shape which promotes flow turbulence. They may be in direct contact with the corresponding reagent inlets or may be operatively associated with them such that the reagent must flow through the structure before passing close to the substrates 1050 .
  • FIG. 11 shows an alternative schematic representation of an RPCVD apparatus 200 for depositing a film on a substrate to that shown in FIG. 10 . Similar numbering to the apparatus 1000 of FIG. 10 has been maintained for like parts and it will be apparent that all of the growth chamber 2005 , exhaust 2010 , plasma generator 2015 , plasma inlet 2020 , metal organic reagent source 2025 , metal organic reagent inlet 2030 , additional reagent (ammonia) source 2035 and additional reagent inlet 2040 are present to supply necessary reagents to the substrates 2050 supported on substrate holder 2045 which rotates around central pivot 2055 .
  • FIG. 11 the plasma inlet 2020 , and associated plasma generator 2015 , has been shifted to be closer to the side wall in which the metal organic reagent inlet 2030 and additional reagent inlet 2040 are provided.
  • this design of apparatus 2000 may still provide a significant improvement in the purity of the growing films over those grown in a typical RPCVD apparatus.
  • FIG. 12 shows a further alternative schematic representation of an RPCVD apparatus 3000 for depositing a film on a substrate to that shown in FIG. 10 .
  • the components of the apparatus 3000 are substantially the same as those discussed in relation to FIGS. 10 and 11 and so will not be repeated here.
  • the key differences between the embodiments of FIGS. 12 and 10 are that, firstly, the additional reagent source 3035 and associated additional reagent inlet 3040 are located on the ceiling of the growth chamber 3005 , rather than a side wall as in FIG. 10 , and secondly, to ensure the introduction of additional reagent e.g.
  • the additional reagent inlet 3040 is provided with an extended portion 3060 .
  • a temperature regulating means may be provided generally adjacent extended portion 3060 to control the reagent temperature before contact with the growth surface.
  • the provision of the extended portion 3060 does result in the potential generation of one or two “dead spots” as discussed before but this does not prevent the formation of an improved film product compared with many prior art RPCVD approaches.
  • the design of the apparatus 3000 still ensures that the ammonia, or other additional reagent, is only provided in close proximity to the growing film such that adduct formation and the production of methane, as opposed to methyl radicals, is promoted immediately adjacent said film.
  • FIG. 13 shows yet a further alternative schematic representation of an RPCVD apparatus 4000 for depositing a film on a substrate to that shown in FIG. 10 .
  • the plasma generator 4015 and associated plasma inlet 4020 are found in a side, wall opposite that in which the additional reagent inlet 4040 is located.
  • the representation of a metal organic reagent inlet as a single inlet it takes the form of an injector framework.
  • the framework may be operative over the entire area of the growth chamber 4005 occupied by the substrates 4050 but, preferably, the injector framework will have open ports 4065 and closed ports 4070 .
  • the closed ports 4070 may be those adjacent the plasma inlet 4020 to protect the TEG or TMG or other metal organic reagent from exposure to high energy plasma as it exits the plasma inlet 4020 .
  • FIG. 14 shows a partial perspective sectional view of an RPCVD apparatus 5000 for forming a film, according to one embodiment of the present invention.
  • the plasma generator and reagent sources have been shown but rather only those components required to convey the key aspects of the apparatus.
  • the growth chamber 5005 is defined, in part, by the ceiling 5010 which, in relative terms, is not very distant from the substrates 5035 to minimise the chamber mixing space.
  • a plasma inlet 5015 opens into the growth chamber 5005 through the ceiling 5010 to deliver a plasma, such as a nitrogen plasma.
  • a metal organic reagent inlet takes the form of an injector framework 5020 (details of the port openings not shown) while a additional reagent inlet 5025 opens into the growth chamber 5005 through a side wall thereof at a point underneath the metal organic injector framework 5020 and a height such that a flow path of a additional reagent, preferably ammonia, is created just above the growth surface of the substrates 5035 which are rotating with the movement of the substrate holder 5030 .
  • FIG. 14 represents a further variation on the themes discussed in relation to the previous figures and achieves its advantages in much the same way.
  • the embodiment shown in FIG. 14 does have the advantages of the plasma inlet 5015 and TEG or TMG injector framework 5020 being separated and, other than the relatively small size of the injector framework 5020 itself, minimal structural components being located within the growth chamber 5005 above the substrates 5035 .
  • degradation of the TEG or TMG and the creation of “dead spots” which might promote the methyl radical production pathway are minimised.
  • the additional reagent may only need to supply hydrogen in a reactive form if the plasma is a nitrogen plasma and so can be used as the nitrogen source for adduct formation. This would result in the use of a hydrogen plasma generator and inlet along with a nitrogen plasma generator and inlet. Due to the possibility of arcing between these components it would be preferable to physically separate them as much as possible and so one may be located in the ceiling at one end of the growth chamber 5005 and the other in a side wall at an opposite end of the growth chamber 5005 .
  • the apparatus may also be adapted to allow additional individual rotations of each substrate relative to the substrate holder for further improvement of thin film growth uniformity.
  • the components of the inventive apparatus described herein may be arranged in a number of different ways while still achieving a reduced carbon and/or oxygen level in the growing films compared with that achieved by standard RPCVD approaches.
  • all of the embodiments described share at least the feature of the additional reagent being introduced to the growth chamber in close if not immediate proximity to the Group IIIA reagent introduction point and, preferably, the substrates to promote formation of the adduct directly above the growing film surface. This approach has been found to greatly reduce the level of carbon and/or oxygen incorporation into the film.
  • any one or more and most preferably all of the Group VA plasma inlet, the Group IIIA reagent inlet and the additional reagent inlet all end flush with the ceiling of the growth chamber, as previously discussed.
  • the Group IIIA reagent inlet and the additional reagent inlet are to extend into the chamber then, in one embodiment, it is useful if they extend into the chamber to be between about 1 to about 10 cm vertically above the substrates inclusive of between 2 to 9 cm, 3 to 6, cm and 4 to 5 cm.
  • the process of film formation as described in relation to any of the aspects herein may also include a doping step, which may be necessary for films to be employed in devices such as LEDs and solar cells.
  • the doping step is a p-type doping step.
  • the dopants could be Mg or Zn or other suitable elements.
  • Suitable reagents that contain these elements, such as diethyl zinc (DEZn), bis(cyclopentadienyl)magnesium (Cp2Mg) can be selected from those known in the art for p-type doping.
  • p-type doping is known in the art to be particularly challenging but it has been found that the present set of process conditions and apparatus features used to reduce carbon impurities in the growing film also allow for better p-type doping.
  • Values obtained for p-type doping are: resistivity of 0.9 Ohm-cm, mobility of 2.7 cm ⁇ 2/Vs for a carrier concentration of 1.4E18 cm ⁇ -3.
  • the dopants could be Si or oxygen or other suitable elements. Suitable reagents that contain these elements, such as silane, disilane, di-tert-butylsilane, oxygen can also be used for n-type doping.
  • the method may further include the step of controlling the temperature to be between about 400 to about 1200° C., preferably between about 500 to about 1000° C. (inclusive of a temperature of about 500° C., 600° C., 700° C., 800° C., 900° C. or 1000° C.), more preferably between about 500 to about 850° C.
  • This is a relatively low temperature range in comparison to typical MOCVD and even many RPCVD approaches. The lower temperatures favour adduct formation over TMG thermal degradation and so reduce methyl radical reactions at the film surface.
  • the method may further include the step of promoting the mixing of the metal organic reagent and the additional reagent adjacent the one or more substrates using a flow perturbation device.
  • the mixing step is to promote immediate formation of the adduct in the vicinity of the film/substrate surface.
  • the method may also include the step of controlling the power of the plasma generator to be between about 400 W to about 5000 W from a single source.
  • the power of the plasma generator is between about 500 to about 3000 W, 500 to 2750 W, 500 to 2500 W, 500 to 1000 W, 500 to 900 W, 500 to 800 W, 600 to 1000 W, 600 to 900 W, 600 to 800 W, 700 to 1000 W, 700 to 900 W and preferably about 800 W is preferred.
  • a value of about 800 W has been found to be particularly useful in lowering carbon incorporation, however, in moving to commercial scales higher powers and multiple plasma sites are envisaged as being useful such as about 2500 W.
  • the surprising results achieved may be as a result of one or more of the following processes. Firstly, it is postulated that the injection of ammonia provides additional available nitrogen to the system and this acts as both a reagent in film formation and also as a scavenger for oxygen and/or carbon. Secondly, it is theorized that the improvement in lowering carbon incorporation into the film when the power output of the plasma generator is increased could be due to the carbon atoms being actively removed in favour of nitrogen. Thirdly, and as alluded to previously, a proposed mechanism for removal of carbon from the system is that the ammonia assists in the formation of an adduct with the trimethylgallium, i.e.
  • the invention resides in a method of forming a thin film having a carbon content of less than about 5E+17 atom/cm 3 , on a substrate by RPCVD including the steps of:
  • the carbon impurity content is less than about 3E+17 atom/cm 3 , even more preferably less than about 2E+17 atom/cm 3 , yet more preferably less than or about 1E+17 atom/cm 3 .
  • a lower limit may be considered to be about the SIMS detection limit for carbon impurities in such films.
  • the thin film also has an oxygen impurity content of less than about 8E+17 atom/cm 3 , even more preferably less than about 6E+17 atom/cm 3 , yet more preferably less than about 4E+17 atom/cm 3 , still more preferably less than about 2E+17 atom/cm 3 , or even less than or about 1E+17 atom/cm 3 .
  • a lower limit may be considered to be about the SIMS detection limit for oxygen impurities in such films.
  • the invention resides in a film made by the method of the second or third aspects.
  • Such films will have demonstrably lower levels of oxygen and/or carbon incorporated into their structure in comparison to similar films made by standard RPCVD approaches.
  • films produced by the method of the present invention may have a carbon content of less than about 10E+16 atoms/cm 3 . Values of 3E+16 atoms/cm 3 have been attained and it is believed that values of less than 1E+16 atoms/cm 3 are attainable with process optimisation.
  • the invention resides in use of a film of the fourth aspect in a semiconductor device.
  • FIGS. 6 and 7 An apparatus essentially as set out in FIGS. 6 and 7 was used employing a stainless steel style shower head baffle located below the plasma inlet.
  • the power of the plasma generator was between 500 W to 600 W and a growth temperature of 700° C. was employed.
  • the films were grown onto a GaN template.
  • An initial control run was carried out using a nitrogen plasma and trimethylgallium (TMG) as the organometallic reagent but without the injection of any ammonia. This produced a film as would be expected when made via the apparatus of FIG. 2 i.e. with standard levels of oxygen and carbon impurities.
  • TMG trimethylgallium
  • a second run was then carried out under essentially similar conditions but with an injection of a 15 sccm ammonia flow into the second deposition zone (the organometallic reagent deposition zone).
  • the ammonia was injected at the same time as injection of the TMG so that the two mixed together prior to deposition.
  • the level of carbon decreased from about 6E+20 atom per cubic centimetre (atom/cc) to about 3E+20 atom/cc while the level of oxygen decreased from about 3E+20 atom/cc to about 1E+17 atom/cc.
  • the distance between the plasma inlet and the substrates was less than 20 cm and no plasma etching was observed. It is postulated this may be due to the relatively low (500-600 W) power output from the plasma generator employed while still providing enough energy to activate the nitrogen.
  • the data in table 2 also indicates that ammonia actively takes part in GaN formation and carbon removal rather than just scavenging carbon and/or oxygen.
  • FIG. 16 is a SIMS graphical analysis of the typical impurities found in a film produced by a method and apparatus of the invention as described and grown on a GaN template. Films produced by RPCVD would usually not be comparable with the purity levels achieved in a template, however, in the present instance when formed under the optimal conditions described above it is seen that the films are essentially of equal quality.
  • the first 0-0.5 ⁇ m of the depth profile (indicated on the x axis) represents a film produced by the present apparatus and method while the 0.5-2.7 ⁇ m component represents the underlying GaN template produced by an MOCVD process. It is clear that the levels of the various impurities, particularly carbon and oxygen, are similar. The spikes observed in the traces are representative of the interfaces between layers or changes in growth conditions and not an increase in impurity levels.
  • TEG triethylgallium
  • TEG inj. (2 + 3) Shrd 0.25 L Temp (Torr) (W) 1380 120 120 1600 2500 800 30 720 3.5 2500 uGaN 1386 120 120 1600 2500 800 0 720 3.5 2500 uGaN 1388 120 120 1600 2500 800 100 720 3.5 2500 uGaN N2 PL (2 + 3) is the nitrogen flow to the plasma inlet.
  • H2 Shrd is the hydrogen flow to the chamber through an outer shroud.
  • MO inj. is the metal organic injector flow of hydrogen which carries the metal organic reagent.
  • NH3 0.25 L is the ammonia flow into the growth chamber in sccm.
  • FIG. 18 shows that the oxygen impurity levels in the grown films were on a par with those observed in the MOCVD grown. GaN template under all conditions.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Peptides Or Proteins (AREA)
  • Medicines Containing Antibodies Or Antigens For Use As Internal Diagnostic Agents (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
US14/413,587 2012-07-13 2013-07-15 Apparatus and method for film formation Abandoned US20150167162A1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
AU2012903023A AU2012903023A0 (en) 2012-07-13 Apparatus and method for film formation
AU2012903023 2012-07-13
AU2012903455 2012-08-10
AU2012903455A AU2012903455A0 (en) 2012-08-10 Apparatus and method for film formation
PCT/AU2013/000786 WO2014008557A1 (en) 2012-07-13 2013-07-15 Apparatus and method for film formation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/AU2013/000786 A-371-Of-International WO2014008557A1 (en) 2012-07-13 2013-07-15 Apparatus and method for film formation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/171,468 Division US20210166913A1 (en) 2012-07-13 2021-02-09 Apparatus and method for film formation

Publications (1)

Publication Number Publication Date
US20150167162A1 true US20150167162A1 (en) 2015-06-18

Family

ID=49915264

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/413,587 Abandoned US20150167162A1 (en) 2012-07-13 2013-07-15 Apparatus and method for film formation
US17/171,468 Pending US20210166913A1 (en) 2012-07-13 2021-02-09 Apparatus and method for film formation

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/171,468 Pending US20210166913A1 (en) 2012-07-13 2021-02-09 Apparatus and method for film formation

Country Status (9)

Country Link
US (2) US20150167162A1 (ko)
EP (1) EP2872668B1 (ko)
JP (1) JP6235581B2 (ko)
KR (1) KR102152786B1 (ko)
CN (1) CN104428444B (ko)
AU (1) AU2013289866B2 (ko)
HK (1) HK1204663A1 (ko)
SG (1) SG11201407907XA (ko)
WO (1) WO2014008557A1 (ko)

Cited By (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180174826A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
EP3459123A4 (en) * 2016-05-20 2019-06-19 Lumileds LLC METHOD OF USING REMOVED CHEMICAL GAS PHASE DEPOSITION (RP-CVD) AND SPUTTER SEPARATION FOR BREATING LAYERS IN LIGHT EMITTING DEVICES
US10355165B2 (en) 2017-11-07 2019-07-16 Gallium Enterprises Pty Ltd Buried activated p-(Al,In)GaN layers
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11898248B2 (en) 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107675141B (zh) * 2017-10-25 2023-08-04 南昌大学 一种用于制备氮化物材料的装置
JP7180984B2 (ja) * 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法
CN109023301B (zh) * 2018-10-24 2023-10-13 乐山新天源太阳能科技有限公司 氧化铝膜制备装置
CN109487242B (zh) * 2019-01-07 2021-01-29 合肥京东方卓印科技有限公司 薄膜沉积设备及薄膜沉积方法、显示装置
JP7001939B2 (ja) 2020-03-06 2022-01-20 ダイキン工業株式会社 輸送用冷凍装置、及び輸送用コンテナ
WO2021248303A1 (zh) * 2020-06-09 2021-12-16 江苏菲沃泰纳米科技股份有限公司 镀膜设备和应用
CN113774363A (zh) 2020-06-09 2021-12-10 江苏菲沃泰纳米科技股份有限公司 镀膜设备及其镀膜方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US20030098372A1 (en) * 2001-11-23 2003-05-29 Jusung Engineering Co. Multi-sectored flat board type showerhead used in CVD apparatus
US20070071896A1 (en) * 2003-08-20 2007-03-29 Veeco Instruments Inc. Alkyl push flow for vertical flow rotating disk reactors
US7435445B2 (en) * 2002-09-17 2008-10-14 Moohan Co., Ltd. Method for manufacturing semiconductor device
US20100087050A1 (en) * 2008-10-03 2010-04-08 Veeco Instruments Inc. Chemical vapor deposition with energy input
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US20130171805A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. GaN Epitaxy With Migration Enhancement and Surface Energy Modification

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4638762A (en) * 1985-08-30 1987-01-27 At&T Technologies, Inc. Chemical vapor deposition method and apparatus
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JP3587946B2 (ja) * 1996-09-30 2004-11-10 独立行政法人 科学技術振興機構 プラズマcvd装置
CN101124353B (zh) * 2004-09-27 2011-12-14 盖利姆企业私人有限公司 生长第(ⅲ)族金属氮化物薄膜的方法和装置、以及第(ⅲ)族金属氮化物薄膜
WO2006097804A2 (en) * 2005-02-28 2006-09-21 Epispeed S.A. System and process for high-density,low-energy plasma enhanced vapor phase epitaxy
JP4395609B2 (ja) * 2006-03-13 2010-01-13 国立大学法人東北大学 窒化ガリウム系材料からなる基板
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US8895107B2 (en) * 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
CN102395704B (zh) * 2009-02-13 2014-02-19 盖利姆企业私人有限公司 等离子体沉积
US8080466B2 (en) * 2009-08-10 2011-12-20 Applied Materials, Inc. Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20110244663A1 (en) * 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
KR20130062980A (ko) * 2010-07-22 2013-06-13 시너스 테크놀리지, 인코포레이티드 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US20140014965A1 (en) * 2012-07-11 2014-01-16 Philip A. Kraus Chemical vapor deposition system with in situ, spatially separated plasma

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US20030098372A1 (en) * 2001-11-23 2003-05-29 Jusung Engineering Co. Multi-sectored flat board type showerhead used in CVD apparatus
US7435445B2 (en) * 2002-09-17 2008-10-14 Moohan Co., Ltd. Method for manufacturing semiconductor device
US20070071896A1 (en) * 2003-08-20 2007-03-29 Veeco Instruments Inc. Alkyl push flow for vertical flow rotating disk reactors
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US20100087050A1 (en) * 2008-10-03 2010-04-08 Veeco Instruments Inc. Chemical vapor deposition with energy input
US20130171805A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. GaN Epitaxy With Migration Enhancement and Surface Energy Modification

Cited By (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11069525B2 (en) 2016-05-20 2021-07-20 Lumileds Llc Methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers in light emitting devices
US10622206B2 (en) 2016-05-20 2020-04-14 Lumileds Llc Methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers in light emitting devices
EP3459123A4 (en) * 2016-05-20 2019-06-19 Lumileds LLC METHOD OF USING REMOVED CHEMICAL GAS PHASE DEPOSITION (RP-CVD) AND SPUTTER SEPARATION FOR BREATING LAYERS IN LIGHT EMITTING DEVICES
US11069524B2 (en) 2016-05-20 2021-07-20 Lumileds Llc Methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers in light emitting devices
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US20180174826A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11081618B2 (en) 2017-11-07 2021-08-03 Gallium Enterprises Pty Ltd Buried activated p-(Al,In)GaN layers
US10559711B2 (en) 2017-11-07 2020-02-11 Gallium Enterprises Pty Ltd Buried activated p-(Al,In)GaN layers
US10546972B2 (en) 2017-11-07 2020-01-28 Gallium Enterprises Pty Ltd Buried activated p-(Al,In)GaN layers
US10355165B2 (en) 2017-11-07 2019-07-16 Gallium Enterprises Pty Ltd Buried activated p-(Al,In)GaN layers
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11898248B2 (en) 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12006572B2 (en) 2020-10-01 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2020-10-05 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12009224B2 (en) 2021-09-24 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Also Published As

Publication number Publication date
WO2014008557A1 (en) 2014-01-16
EP2872668B1 (en) 2018-09-19
EP2872668A1 (en) 2015-05-20
SG11201407907XA (en) 2015-01-29
CN104428444B (zh) 2016-09-21
JP2015527486A (ja) 2015-09-17
KR102152786B1 (ko) 2020-09-08
AU2013289866B2 (en) 2015-04-02
CN104428444A (zh) 2015-03-18
AU2013289866A1 (en) 2014-12-18
JP6235581B2 (ja) 2017-11-22
US20210166913A1 (en) 2021-06-03
KR20150034160A (ko) 2015-04-02
EP2872668A4 (en) 2016-03-02
HK1204663A1 (en) 2015-11-27

Similar Documents

Publication Publication Date Title
US20210166913A1 (en) Apparatus and method for film formation
KR102135229B1 (ko) 커스터마이즈 가능한 유동 주입을 구비하는 에피택셜 챔버
US7396415B2 (en) Apparatus and methods for isolating chemical vapor reactions at a substrate surface
CN101925980B (zh) 化学汽相沉积设备
AU2010213360B2 (en) Plasma deposition
US10192740B2 (en) High throughput semiconductor deposition system
TWI503867B (zh) Cvd製程及cvd反應器
JP5710002B2 (ja) 薄膜蒸着装置
KR20110074899A (ko) 화학 기상 증착을 위한 장치 및 방법
EP1190122B1 (en) Method and apparatus for epitaxially growing a material on a substrate
TWM513885U (zh) 用於薄膜形成之裝置
JPH0691026B2 (ja) 半導体の製造方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: GALLIUM ENTERPRISES PTY LTD, AUSTRALIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BARIK, SATYANARAYAN;WINTREBERT EP FOUQUET, MARIE-PIERRE FRANCOISE;MANN, IAN;REEL/FRAME:035515/0271

Effective date: 20150420

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION