CN101925980B - 化学汽相沉积设备 - Google Patents

化学汽相沉积设备 Download PDF

Info

Publication number
CN101925980B
CN101925980B CN200980103376.2A CN200980103376A CN101925980B CN 101925980 B CN101925980 B CN 101925980B CN 200980103376 A CN200980103376 A CN 200980103376A CN 101925980 B CN101925980 B CN 101925980B
Authority
CN
China
Prior art keywords
radiation heating
substrates
heating zone
pedestal
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980103376.2A
Other languages
English (en)
Other versions
CN101925980A (zh
Inventor
布赖恩·H·伯罗斯
罗纳德·史蒂文斯
雅各布·格雷森
乔舒亚·J·波德斯塔
桑迪普·尼杰霍安
洛里·D·华盛顿
亚历山大·塔姆
萨姆埃德霍·阿卡赖亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101925980A publication Critical patent/CN101925980A/zh
Application granted granted Critical
Publication of CN101925980B publication Critical patent/CN101925980B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的实施例一般涉及用于在基板上进行化学汽相沉积(CVD)的方法及设备,特定的是,涉及用于金属有机化学汽相沉积的工艺腔室及部件。该设备包括:腔室主体,界定工艺容积;喷洒头,位于第一平面,并界定该工艺容积的顶端部分;承载板,在第二平面而延伸跨越该工艺容积,并在该喷洒头与该基座板之间形成上方工艺容积;透明材料,位于第三平面,并界定该工艺容积的底端部分,而在该承载板与该透明材料之间形成下方工艺容积;以及多个灯,在该透明材料下方形成一或多个区域。该设备提供均一的前驱物流动及混合,并同时维持较大型基板上方的均一温度,因而使生产率有相应的提高。

Description

化学汽相沉积设备
技术领域
本发明的实施例一般涉及用于在基板上进行化学汽相沉积(CVD)的方法及设备,且特别是涉及在化学汽相沉积中使用的工艺腔室。
背景技术
III-V族薄膜在多种半导体器件的发展及制造中被发现更具有重要性,半导体器件例如为短波长发光二极管(LEDs)、激光二极管(LDs)以及包括高功率、高频率、高温度晶体管及集成电路的电子器件。举例来说,短波长(如:蓝/绿至紫外光)LED是使用III族氮化物半导体材料氮化镓(GaN)所制成的。相较于使用包括II-VI族元素的非氮化物半导体材料所制造的短波长LED,观察到使用GaN所制造的短波长LED可以提供明显较佳的效率及较长的使用寿命。
金属有机化学汽相沉积(MOCVD)为一种已用于沉积III族氮化物(例如GaN)的方法。此种化学汽相沉积方法一般在具有温控环境的反应器中进行,以确保第一前驱物气体的稳定性,而该第一前驱物气体包括III族的至少一种元素,例如镓(Ga)。第二前驱物气体例如为氨(NH3),第二前驱物气体提供形成III族氮化物所需的氮。两种前驱物气体注入反应器中的工艺区域,而这些前驱物气体在该工艺区域中混合并移动朝向工艺区域中的加热基板。载气用于协助前驱物气体朝向基板传送。前驱物在加热基板表面反应,以在基板表面上形成III族氮化物层(例如GaN)。薄膜的质量部分取决于沉积的均一性,而此沉积均一性又取决于前驱物跨越基板的均一的流动及混合。
随着对LED、LD、晶体管及集成电路的需求增加,沉积高质量的III族氮化物薄膜的效率显得格外重要。因此,需要一种改良式的沉积设备及工艺,该设备及工艺能够在较大型基板以及较大沉积区域上提供均一的前驱物混合及一致的薄膜质量。
发明内容
本发明一般涉及用于在基板上进行化学汽相沉积(CVD)的方法及设备,更特定的是,涉及用于化学汽相沉积的工艺腔室及部件。
在一实施例中,提供一种用于在基板上进行金属有机化学汽相沉积的设备。该处理设备包括:腔室主体,所述腔室主体界定工艺容积;喷洒头,所述喷洒头位于第一平面,并界定该工艺容积的顶端部分;基板承载板,所述基板承载板位于第二平面而延伸跨越该工艺容积,并在该喷洒头与该基座板之间形成上方工艺容积;透明材料,所述透明材料位于第三平面,并界定该工艺容积的底端部分,而在该基板承载板与该透明材料之间形成下方工艺容积;以及多个灯,所述多个灯在该透明材料下方形成一或多个区域,且所述多个灯将辐射热导引朝向该基板承载板以产生一或多个辐射加热区域。
在另一实施例中,提供一种用于金属有机化学汽相沉积的基板处理设备。该处理设备包括:腔室主体,所述腔室主体界定工艺容积;喷洒头,所述喷洒头位于第一平面,并界定该工艺容积的顶端部分;基板承载板,所述基板承载板位于第二平面而延伸跨越该工艺容积,所述第二平面位于该工艺容积中的第一平面下方;以及光屏蔽,所述光屏蔽包括一呈角度部分,该部分围绕基板承载板的周围,其中光屏蔽将辐射热导引朝向该基板承载板。
附图说明
为让本发明的上述特征更明显易懂,可配合参考实施例说明,其部分绘示如附图式。须注意的是,虽然所附图式揭露本发明特定实施例,但其并非用以限定本发明的精神与范围,任何本领域技术人员,当可作各种的更动与润饰而得等效实施例。
图1,绘示根据本发明的实施例的沉积腔室的剖面视图。
图2,绘示图1的沉积腔室的部分剖面视图。
图3,绘示根据本发明的一实施例的承载板的立体视图。
图4A,绘示根据本发明的一实施例的基座板的上表面的立体视图。
图4B,绘示根据本发明的一实施例的基座板的下表面的立体视图。
图5A,绘示根据本发明的一实施例的基座支撑轴杆的立体视图。
图5B,绘示根据本发明的另一实施例的基座支撑轴杆的立体视图。
图5C,绘示根据本发明的另一实施例的基座支撑轴杆的立体视图。
图6,绘示根据本发明的一实施例的承载升举轴杆的立体视图。
图7,绘示根据本发明的一实施例的排气工艺套组的概要视图。
图8A,绘示根据本发明的一实施例的上方衬垫的立体视图。
图8B,绘示根据本发明的一实施例的下方衬垫的立体视图。
具体实施方式
本发明的实施例一般提供使用MOCVD而用于沉积III族氮化物薄膜的方法及设备。虽然针对MOCVD而做探讨,但本发明的实施例并不限于MOCVD。图1为沉积设备的剖面视图,该沉积设备可根据本发明的一实施例而用于实施本发明。图2为图1的沉积腔室的部分剖面视图。适用于实施本发明的示范性系统及腔室描述于美国专利申请序号11/404,516(2006年4月14日申请)以及美国专利申请序号11/429,022(2006年5月5日申请),在此将两份申请的全文并入以作为参考。
参照图1及图2,设备100包括腔室102、气体输送系统125、远端等离子体源126及真空系统112。腔室102包括腔室主体103,且腔室主体103围绕工艺容积108。腔室主体103的材料例如为不锈钢或铝。喷洒头组件104或气体分配板设置在工艺容积108的一端,承载板114设置在工艺容积108的另一端。适于实施本发明的示范性喷洒头描述于:美国专利申请序号11/873,132、2007年10月16日申请、专利名称为“多气体平直通道喷洒头(MULTI-GASSTRAIGHT CHANNEL SHOWERHEAD)”;美国专利申请序号11/873,141、2007年10月16日申请、专利名称为“多气体平直通道喷洒头(MULTI-GASSTRAIGHT CHANNEL SHOWERHEAD)”;以及美国专利申请序号11/873,170、2007年10月16日申请、专利名称为“多气体同中心注射喷洒头(MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD)”,在此将上述专利的全文并入以作为参考。配置透明材料119以允许光线通过,从而辐射加热基板140,透明材料119设置在下方容积110的一端,承载板114则设置在下方容积110的另一端。透明材料119可以为圆顶状。图中所示的承载板114位于处理位置,但承载板114可以移动至例如可装载或卸载基板140的下方位置。
图3为根据本发明的一实施例的承载板的立体视图。在一实施例中,承载板114可包括一或多个凹部116,在处理过程中,一或多个基板140可以设置在该一或多个凹部116中。在一实施例中,承载板114配置以承载6个或更多个基板140。在另一实施例中,承载板114配置以承载8个基板140。在另一实施例中,承载板114配置以承载18个基板。在再一实施例中,承载板114配置以承载22个基板。可了解也可以在承载板114上承载更多或更少个基板140。典型的基板140包括蓝宝石、碳化硅(SiC)、硅或氮化镓(GaN)。可了解也可以对其他种类的基板140(例如玻璃基板140)进行处理。基板140的尺寸介于直径为50mm~100mm或是更大。承载板114的尺寸介于200mm~750mm。承载板114可以由多种材料形成,所述材料包括SiC或涂覆有SiC的石墨。可了解其他尺寸的基板140也可以在腔室102中进行处理,且根据此处所述的工艺来进行。
在处理过程中,承载板114可沿着一轴旋转。在一实施例中,承载板114以约2RPM~约100RPM旋转。在另一实施例中,承载板114以约30RPM旋转。承载板114的旋转有助于提供基板140的均一加热,以及各基板140对工艺气体的均一暴露。在一实施例中,承载板114由包括基座板115的承载支撑装置所支撑。适于实施本发明的示范性基板支撑结构描述于美国专利申请序号11/552,474中,该申请的申请日为2006年10月24日,专利名称为“具有快速温度改变的基板支撑结构(SUBSTRATE SUPPORT STRUCTUREWITH RAPID TEMPERATURE CHANGE)”,在此将该申请全文并入以作为参考。
图4A是根据本发明的一实施例的基座板的上表面的立体视图。图4B是根据本发明的一实施例的基座板的下表面的立体视图。基座板115为盘状,且由涂覆有碳化硅的石墨材料制成。基座板115的上表面156形成有圆形凹部127。圆形凹部127作为用以容设及支撑该承载板114的支撑区域。基座板115具有用以容设升举销的3个穿孔158。基座板115被基座支撑轴杆118在下侧水平地支撑于3个点,其中基座支撑轴杆118由石英制成,并设置在腔室的下方容积110中。基座板115的下表面159具有3个孔洞167而用于容设基座支撑轴杆118的升举臂。虽然基座板115被描述为具有3个孔洞167,但也可使用相应于基座支撑轴杆118的升举臂数量的任何数量的孔洞。
将参照图5A~5C及图6描述升举构件150。图5A为基座支撑轴杆的立体视图,图6为承载板升举构件的立体视图。基座支撑轴杆118包括中央轴杆132,3个升举臂134由中央轴杆132而放射状延伸,虽然图中示出基座支撑轴杆118具有3个升举臂134,但也可使用任何大于3的数量的升举臂,举例来说,如图5B所示,基座支撑轴杆118可包括6个升举臂192。在图5C的一实施例中,升举臂由具有支撑柱196的盘状物195来取代,而支撑柱196由盘状物195的表面延伸,以支撑基座板115。
承载板升举构件150包括:垂直可移动的升举管152,升举管152经配置以围绕基座支撑轴杆118的中央轴杆132;驱动单元(图中未示),所述驱动单元用以使升举管152上下移动;3个升举臂154,所述升举臂154由升举管152而放射状延伸;以及升举销157,所述升举销157悬挂自基座板115的底表面,并通过形成的各个穿孔158而穿过基座板115的底表面。当控制该驱动单元以使呈此配置的升举管152及升举臂154上升时,升举销157被升举臂154的末端而推动升高,由此升高承载板114。
如图1所示,由设置在下方圆顶119的下方的多个内部灯121A、多个中央灯121B及多个外部灯121C而提供辐射加热。反射器166可用于协助控制腔室102暴露于内部、中央及外部灯121A、121B、121C所提供的辐射能量。还可使用其他区域的灯以对基板140做更精细的温度控制。在一实施例中,反射器166涂覆有金。在另一实施例中,反射器166涂覆有铝、铑、镍、上述材料的组合或其他较高反射性的材料。在一实施例中,总共有72个灯,每区各24个灯,且各个灯为2千瓦。在一实施例中,灯为气冷式,且灯的基座为水冷式。
多个内部灯、中央灯及外部灯121A、121B、121C可配置于同中心区域或其他区域(图中未示),且各个区域被分别提供电力,以通过温度控制而调整沉积速率及生长速率。在一实施例中,一或多个温度感测器(例如高温计122A、122B、122C)可设置在喷洒头组件104中,以量测基板140及承载板114的温度,而温度数据可传送至控制器(图中未示),以调整输送至各区域的电力而维持跨越承载板114的预定温度分布。在一实施例中,惰性气体流动于高温计122A、122B、122C周围而进入工艺容积108,以预防在高温计122A、122B、122C上产生沉积及凝结现象。高温计122A、122B、122C可以自动补偿由于在表面上的沉积所造成的发射率(emissivity)改变。虽然图中示出3个高温计122A、122B、122C,应了解也可使用任何数量的高温计,举例来说,若增设额外区域的灯,则期望增设额外的高温计以监控各个额外区域。在另一实施例中,可调整输送至不同灯区域的电力,以补偿前驱物流动或前驱物浓度的不均一性。举例来说,若在接近外部灯区域的承载板114区中具有较低的前驱物流量,则调整输送至外部灯区域的电力,以协助补偿在此区中的前驱物耗尽。使用灯加热而非电阻加热的优点在于,可获得跨越承载板114表面的较小温度范围,而此可改善产物产量。灯可快速加热及快速冷却的特性提升了生产量,还协助了产生明显的(sharp)薄膜界面。
其他的计量装置也可以与腔室102耦接,例如反射率监控器123、热偶(图中未示)或其他温度装置。计量装置可用于量测多种薄膜特性,例如厚度、粗糙度、组成、温度或其他特性。这些量测值可用于自动实时反馈控制回路以控制工艺条件,例如沉积速率及相应的厚度。在一实施例中,反射率监控器123透过中央导线管(图中未示)而与喷洒头组件104耦接。腔室计量的其他实施态样描述于美国专利申请,该申请的申请日为2008年1月31日(代理人案号:011007),专利名称为“封闭回路MOCVD沉积控制(CLOSED LOOP MOCVDDEPOSITION CONTROL)”,在此将该申请全文并入以作为参考。
内部、中央及外部灯121A、121B、121C可将基板140加热至约400℃~约1200℃的温度。应了解本发明并未限制使用内部、中央及外部灯121A、121B、121C的排列方式。可以使用任何适当的加热源,以确保对腔室102及在腔室内部的基板140适当地施加适合的温度。举例来说,在另一实施例中,加热源可以包括与承载板114热接触的电阻加热元件(图中未示)。
参照图2及图7,图7是根据本发明的一实施例的排气工艺套组的立体视图。在一实施例中,工艺套组包括光屏蔽117、排气环120及排气圆柱160。如图2所示,光屏蔽117可以设置在承载板114的外周的周围。光屏蔽117吸收由内部灯121A、中央灯121B及外部灯121C偏离至基座直径外的能量,且协助重新导引能量朝向腔室102的内部。光屏蔽117还阻挡直接的光辐射能量,以避免该光辐射能量干扰计量工具。在一实施例中,光屏蔽117一般包括环状的环,且该环具有内边缘及外边缘。在一实施例中,环状的环的外边缘往上呈一角度。光屏蔽117一般包括碳化硅。光屏蔽117也可包括可吸收电磁能量的替代性材料,例如陶瓷。光屏蔽117可以与排气圆柱160、排气环120或腔室主体103的其他部件耦接。光屏蔽117一般不会与基座板115或承载板114接触。
在一实施例中,排气环120设置在承载板114的外周的周围,以协助预防在下方容积110中产生沉积现象,还可协助直接将气体由腔室102排出至排气口109。在一实施例中,排气环120包括碳化硅。排气环120也可包括可吸收电磁能量的替代性材料,例如陶瓷。
在一实施例中,排气环120与排气圆柱160耦接。在一实施例中,排气圆柱160垂直于排气环120。排气圆柱160协助维持由中央往外跨越承载板114表面的均一且相等的径向流,并控制气体流出工艺容积108和流入环状排气通道105。排气圆柱160包括环状的环161,且该环状的环161具有内侧壁162及外侧壁163,而穿孔或狭缝165延伸穿过该些侧壁并且遍及环161的周围而等间隔设置。在一实施例中,排气圆柱160和排气环120包括单一主体。在一实施例中,排气圆柱160和排气环120包括分离的部件,且可使用已有的附接技术而耦接在一起。参照图2,工艺气体由喷洒头组件104往下而朝向承载板114流动,并径向往外移动通过光屏蔽117的上方,再通过排气圆柱160中的狭缝165而进入环状排气通道105,工艺气体则在环状排气通道105透过排气口109而离开腔室102。排气圆柱160中的狭缝会调节工艺气体的流动,以协助在整个基座板115上达到均一的径向流动。在一实施例中,惰性气体往上流经光屏蔽117与排气环120之间形成的间隙,以预防工艺气体进入腔室102的下方容积110,并沉积在下方圆顶119上。于下方圆顶119上的沉积会影响温度均一性,而在部分实例中会使下方圆顶119变热而使下方圆顶破裂。
气体输送系统125可包括多个气体源,或者,取决于待执行的工艺,某些来源为液体源而非气体源,则在此例中,气体输送系统可包括液体注射系统或其他装置(例如:起泡器(bubbler))以使液体蒸发。蒸气在输送至腔室102之前,可接着与载气混合。例如为前驱物气体、载气、净化气体、清洁/蚀刻气体或其他气体的不同气体可以由气体输送系统125而供应至不同的供应管线131、135,再供应到喷洒头组件104。供应管线可以包括关断阀以及质量流量控制器,或是包括其他类型的控制器以监控并调节或关断气体在各管线中的流动。在一实施例中,根据蒸气压力曲线及温度,以及在气体源的不同位置处所量测的压力而估计前驱物气体的浓度。在另一实施例中,气体输送系统125包括位于气体源下游的监控器,该监控器提供系统中的前驱物气体浓度的直接量测。
导管129可接收来自远端等离子体源126的清洁/蚀刻气体。远端等离子体源126可透过供应管线124而接收来自气体输送系统125的气体,阀130可设置在喷洒头组件104及远端等离子体源126之间。可开启该阀130以允许清洁及/或蚀刻气体或等离子体透过供应管线133而流入喷洒头组件104,而该供应管线133适于作为等离子体的导管。在另一实施例中,可以使用通往喷洒头组件104的替代供应管线配置,以将来自气体输送系统125的清洁/蚀刻气体用于非等离子体的清洁及/或蚀刻。在再一实施例中,等离子体绕过喷洒头组件104,并透过横切于喷洒头组件104的导管(图中未示)而直接流入腔室102的工艺容积108中。
远端等离子体源126可以为射频或微波等离子体源,以适用于腔室102的清洁及/或基板140蚀刻。清洁及/或蚀刻气体可以透过供应管线124而供应至远端等离子体源126以产生等离子体物种,而等离子体物种可以透过导管129及供应管线133以分配通过喷洒头组件104并进入腔室102中。用于清洁应用的气体可包括氟、氯或其他反应性元素。
在另一实施例中,气体输送系统125及远端等离子体源126可为适当地适用,由此,前驱物气体可以供应至远端等离子体源126以产生等离子体粒子,而该等离子体粒子可输送至喷洒头组件104以沉积CVD层(例如III-V薄膜)于基板140上。
净化气体(例如氮气)可以由喷洒头组件104及/或由设置在承载板114下方并邻近腔室主体103的底部的入口或管(图中未示)而输送至腔室102中。净化气体进入腔室102的下方容积110,并往上流经承载板114及排气环120而进入多个排气口109,所述多个排气口109设置在环状排气通道105的周围。排气导管106将环状排气通道105连接至包括有真空泵(图中未示)的真空系统112。可以使用阀系统107控制腔室102压力,而该阀系统107控制废气由环状排气通道105抽吸出的速率。
在基板140进行处理的过程中,喷洒头组件104邻近承载板114。在一实施例中,于处理过程中,喷洒头组件104与承载板114的距离可介于约4mm~约40mm。
根据本发明的一实施例,在基板140进行处理的过程中,工艺气体由喷洒头组件104流向基板140的表面。工艺气体可以包括一或多个前驱物气体、载气及掺杂物(dopant)气体,而掺杂物气体可以与前驱物气体混合。环状排气通道105的抽吸会影响气体流动,因此,工艺气体实质正切于基板140而流至基板140,且以层流方式径向跨越基板140的沉积表面而均匀分布。工艺容积108可以维持在约760托(Torr)~约80托的压力。
工艺气体前驱物在基板140的表面或表面附近的反应可以在基板140上沉积多种金属氮化物层,包括GaN、氮化铝(AlN)及氮化铟(InN)。多种金属可以用于沉积其他化合物薄膜,例如AlGaN及/或InGaN。另外,例如硅(Si)或镁(Mg)的掺杂可加入薄膜中。可以在沉积处理中添加少量的掺杂物气体以对薄膜进行掺杂。针对硅掺杂,可例如使用硅烷(SiH4)或乙硅烷(Si2H6)气体,而针对镁掺杂,掺杂物气体可包括双环戊二烯基镁(Cp2Mg或(C5H5)2Mg)。
在一实施例中,针对蚀刻或清洁,可使用氟系(based)或氯系等离子体。在其他实施例中,针对非等离子体蚀刻,可使用卤素气体(例如Cl2、Br及I2)或卤化物(例如HCl、HBr及HI)。
在一实施例中,载气可包括氮气(N2)、氢气(H2)、氩气(Ar)、其他惰性气体或上述气体的组合,而载气在输送至喷洒头组件104之前可以与第一及第二前驱物气体混合。
在一实施例中,第一前驱物气体包括III族前驱物,第二前驱物气体可包括V族前驱物。III族前驱物可以为金属有机(MO)前驱物,例如三甲基镓(TMG)、三乙基镓(TEG)、三甲基铝(TMAl)及/或三甲基铟(TMI),但也可使用其他适合的MO前驱物。V族前驱物可以为氮前驱物,例如氨(NH3)。
图8A为根据本发明的一实施例的上方衬垫的立体视图。图8B为根据本发明的一实施例的下方衬垫的立体视图。在一实施例中,工艺腔室102还包括上方工艺衬垫170及下方工艺衬垫180,而该些衬垫170、180协助保护腔室主体103免受工艺气体的蚀刻。在一实施例中,上方工艺衬垫170及下方工艺衬垫180包括单一主体。在另一实施例中,上方工艺衬垫170及下方工艺衬垫180包括分离的部件。下方工艺衬垫180设置在工艺腔室102的下方容积110中,上方工艺衬垫170则设置为邻近喷洒头组件104。在一实施例中,上方工艺衬垫170支托在下方工艺衬垫180上。在一实施例中,下方工艺衬垫180具有狭缝阀口802以及排气口804开口,而该排气口804可形成排气口109的一部分。上方工艺衬垫170具有排气环形物806,而该排气环形物806可形成环状排气通道105的一部分。衬垫可包括热绝缘材料,例如不透明石英、蓝宝石、PBN材料、陶瓷、上述材料的衍生物或上述材料的组合。
本发明提供改良的沉积设备及工艺,该沉积设备及工艺提供均一的前驱物流动及混合,并同时在较大型基板与较大沉积区域上方维持均一的温度。在较大型基板及/或多个基板及/或较大沉积区域上方的均一混合及加热为期望的,用以增加产量及生产率。此外,均一加热及混合是重要的因素,因为其会直接影响生产电子器件的花费,并因而影响器件制造商在市场的竞争力。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视后附的权利要求所界定者为准。

Claims (17)

1.一种用于化学汽相沉积的基板处理设备,包括:
基座,所述基座适于支撑基板承载板,在所述基板承载板上放置多个基板;
气体分配板,所述气体分配板界定工艺容积的顶端部分,用于使工艺气体流至所述多个基板的每一个;
多个灯,所述多个灯位于所述基座的下方并且适于朝所述多个基板发出辐射热产生一个或更多个辐射加热区域,其中所述一个或多个辐射加热区域包括:
内部辐射加热区域;
中央辐射加热区域,所述中央辐射加热区域位于所述内部辐射加热区域的上方;和
外部辐射加热区域,所述外部辐射加热区域位于所述中央辐射加热区域的上方,其中每一辐射加热区域包括灯的同中心的排列。
2.如权利要求1所述的设备,还包括与所述一个或更多个辐射加热区域的每一个关联的一个或多个高温计,所述一个或多个高温计连接到控制器,所述控制器被配置为调节所述一个或更多个辐射加热区域的温度,以维持跨越所述多个基板的预定温度分布。
3.如权利要求2所述的设备,还包括气体源,所述气体源被配置为至少部分地在所述一个或多个高温计周围传送惰性气体。
4.一种用于化学汽相沉积的基板处理设备,包括:
基座,所述基座上适于支撑多个基板;
气体分配板,所述气体分配板界定工艺容积的顶端部分,用于使工艺气体流至所述多个基板的每一个;
多个灯,所述多个灯位于所述基座的下方并且适于朝所述多个基板发出辐射热产生一个或多个辐射加热区域,其中所述一个或多个辐射加热区域包括:
内部辐射加热区域;
中央辐射加热区域,所述中央辐射加热区域位于所述内部辐射加热区域的上方;和
外部辐射加热区域,所述外部辐射加热区域位于所述中央辐射加热区域的上方,其中每一辐射加热区域包括灯的同中心的排列;以及
反射率监控器,所述反射率监控器与所述气体分配板耦接,并设置在所述基座上方。
5.如权利要求4所述的设备,其中所述反射率监控器被配置为测量在所述多个基板中的一或多个上设置的薄膜的厚度、粗糙度和组成中的一或多个。
6.一种用于化学汽相沉积的基板处理设备,包括:
基座,所述基座上适于支撑基板承载板,在所述基板承载板上放置多个基板;
气体分配板,所述气体分配板界定工艺容积的顶端部分,用于使工艺气体流至所述多个基板的每一个;
多个灯,所述多个灯位于所述基座的下方并且适于朝所述多个基板发出辐射热产生一个或多个辐射加热区域,其中所述一个或多个辐射加热区域包括:
内部辐射加热区域;
中央辐射加热区域,所述中央辐射加热区域位于所述内部辐射加热区域的上方;和
外部辐射加热区域,所述外部辐射加热区域位于所述中央辐射加热区域的上方,其中每一辐射加热区域包括灯的同中心的排列;以及
排气环,所述排气环设置在所述基板承载板的外周的周围。
7.如权利要求6所述的设备,还包括与所述排气环耦接的环状排气圆柱。
8.如权利要求7所述的设备,其中所述环状排气圆柱具有穿透所述环状排气圆柱形成的多个狭缝,并维持由中央往外跨越所述基板承载板的表面的均一且相等的径向流。
9.一种用于化学汽相沉积的基板处理设备,包括:
基座,所述基座上适于支撑多个基板;
气体分配板,所述气体分配板界定工艺容积的顶端部分,用于使工艺气体流至所述多个基板的每一个;
多个灯,所述多个灯位于所述基座的下方并且适于朝所述多个基板发出辐射热产生一个或多个辐射加热区域,其中所述一个或多个辐射加热区域包括:
内部辐射加热区域;
中央辐射加热区域,所述中央辐射加热区域位于所述内部辐射加热区域的上方;和
外部辐射加热区域,所述外部辐射加热区域位于所述中央辐射加热区域的上方,其中每一辐射加热区域包括灯的同中心的排列;以及
一个或多个计量装置,所述一个或多个计量装置与所述气体分配板耦接,并被配置为测量所述多个基板的一或多个特性。
10.如权利要求9所述的设备,其中所述一个或多个计量装置包括与每一辐射加热区域关联的一个或多个高温计,所述一个或多个高温计连接到控制器,所述控制器被配置为调节所述辐射加热区域的温度,以维持跨越承载板的预定温度分布。
11.如权利要求9所述的设备,其中所述一个或多个计量装置包括反射率监控器,所述反射率监控器与所述气体分配板耦接,并设置在所述基座上方。
12.如权利要求11所述的设备,其中所述反射率监控器被配置为测量在所述多个基板中的一或多个上设置的薄膜的厚度、粗糙度和组成中的一或多个。
13.如权利要求9所述的设备,还包括设置在基板承载板的外周的周围的光屏蔽。
14.一种用于化学汽相沉积的基板处理设备,包括:
基座,所述基座上适于支撑多个基板;
气体分配板,所述气体分配板界定工艺容积的顶端部分,用于使工艺气体流至所述多个基板的每一个;
多个灯,所述多个灯位于所述基座的下方并且适于朝所述多个基板发出辐射热产生一个或多个辐射加热区域,其中所述一个或多个辐射加热区域包括:
内部辐射加热区域;
中央辐射加热区域,所述中央辐射加热区域位于所述内部辐射加热区域的上方;和
外部辐射加热区域,所述外部辐射加热区域位于所述中央辐射加热区域的上方,其中每一辐射加热区域包括灯的同中心的排列;
一个或多个计量装置,所述一个或多个计量装置与所述气体分配板耦接,并被配置为测量所述多个基板的一或多个特性;以及
气体源,所述气体源被配置为至少部分地在所述一个或多个计量装置周围传送惰性气体。
15.如权利要求14所述的基板处理设备,其中所述一个或多个计量装置包括反射率监控器,所述反射率监控器与所述气体分配板耦接,并设置在所述基座上方。
16.如权利要求15所述的基板处理设备,其中所述一个或多个计量装置包括一个或多个高温计。
17.如权利要求14所述的基板处理设备,还包括设置在基板承载板的外周的周围的光屏蔽。
CN200980103376.2A 2008-01-31 2009-01-13 化学汽相沉积设备 Active CN101925980B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/023,520 US20090194024A1 (en) 2008-01-31 2008-01-31 Cvd apparatus
US12/023,520 2008-01-31
PCT/US2009/030858 WO2009099720A1 (en) 2008-01-31 2009-01-13 Cvd apparatus

Publications (2)

Publication Number Publication Date
CN101925980A CN101925980A (zh) 2010-12-22
CN101925980B true CN101925980B (zh) 2013-03-13

Family

ID=40930407

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980103376.2A Active CN101925980B (zh) 2008-01-31 2009-01-13 化学汽相沉积设备

Country Status (6)

Country Link
US (1) US20090194024A1 (zh)
JP (1) JP2011511459A (zh)
KR (1) KR101296317B1 (zh)
CN (1) CN101925980B (zh)
TW (1) TWI513852B (zh)
WO (1) WO2009099720A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US20100139554A1 (en) * 2008-12-08 2010-06-10 Applied Materials, Inc. Methods and apparatus for making gallium nitride and gallium aluminum nitride thin films
WO2010107843A2 (en) * 2009-03-16 2010-09-23 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US20110049779A1 (en) * 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
KR20120099632A (ko) * 2009-10-07 2012-09-11 어플라이드 머티어리얼스, 인코포레이티드 Led 제조를 위한 개선된 다중 챔버 분할 프로세스
EP2495755A4 (en) * 2009-10-28 2013-11-06 Lig Adp Co Ltd DEVICE FOR CHEMICAL VAPOR DEPOSITION BY ORGANOMETALLIC COMPOUNDS AND METHOD OF CONTROLLING TEMPERATURE THEREFOR
CN104810257A (zh) * 2009-10-28 2015-07-29 丽佳达普株式会社 金属有机化学汽相淀积设备及其温度控制方法
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120009765A1 (en) * 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
WO2012071302A2 (en) * 2010-11-22 2012-05-31 Applied Materials, Inc. Interchangeable pumping rings to control path of process gas flow
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
CN103608484B (zh) * 2011-04-20 2016-06-22 Oled工厂有限责任公司 用于气相沉积应用的测量设备和方法
CN103088415B (zh) * 2011-11-03 2015-12-02 上海华虹宏力半导体制造有限公司 改善灯加热腔体内温度均匀性的方法
US20130239894A1 (en) * 2012-03-19 2013-09-19 Pinecone Material Inc. Chemical vapor deposition apparatus
CN102534567B (zh) 2012-03-21 2014-01-15 中微半导体设备(上海)有限公司 控制化学气相沉积腔室内的基底加热的装置及方法
JP2013222884A (ja) * 2012-04-18 2013-10-28 Furukawa Co Ltd 気相成長装置および成膜方法
US9401271B2 (en) 2012-04-19 2016-07-26 Sunedison Semiconductor Limited (Uen201334164H) Susceptor assemblies for supporting wafers in a reactor apparatus
US9082801B2 (en) * 2012-09-05 2015-07-14 Industrial Technology Research Institute Rotatable locating apparatus with dome carrier and operating method thereof
US9373534B2 (en) 2012-09-05 2016-06-21 Industrial Technology Research Institute Rotary positioning apparatus with dome carrier, automatic pick-and-place system, and operating method thereof
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
DE112014001376T5 (de) * 2013-03-15 2015-11-26 Applied Materials, Inc. Suszeptorhalterungswelle mit Gleichförmigkeitsabstimmungslinsen für einen EPI-Prozess
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
CN105493231B (zh) * 2013-09-06 2019-04-02 应用材料公司 圆形灯阵列
US10047457B2 (en) * 2013-09-16 2018-08-14 Applied Materials, Inc. EPI pre-heat ring
CN105981133B (zh) * 2014-02-14 2019-06-28 应用材料公司 具有注入组件的上部圆顶
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
KR101586937B1 (ko) * 2014-08-12 2016-01-19 주식회사 엘지실트론 에피 웨이퍼 성장장치
JP6210382B2 (ja) * 2014-09-05 2017-10-11 信越半導体株式会社 エピタキシャル成長装置
US10727094B2 (en) * 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
TWI647760B (zh) * 2016-03-22 2019-01-11 日商東京威力科創股份有限公司 電漿處理系統中之溫度控制用系統及方法
TWI677593B (zh) 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
KR20210054020A (ko) * 2018-10-01 2021-05-12 어플라이드 머티어리얼스, 인코포레이티드 에피택시 반응기의 석영 돔을 위한 퍼지식 뷰포트

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1585832A (zh) * 2001-04-12 2005-02-23 马特森技术公司 用于在半导体衬底上外延淀积膜的系统和方法
US7128785B2 (en) * 2001-04-11 2006-10-31 Aixtron Ag Method for depositing especially crystalline layers from the gas phase onto especially crystalline substrates

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55500588A (zh) * 1978-08-18 1980-09-04
JPH03129722A (ja) * 1989-06-30 1991-06-03 Showa Denko Kk 気相成長装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
JPH05306466A (ja) * 1992-04-30 1993-11-19 Matsushita Electric Ind Co Ltd プラズマcvd装置
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
JPH09237763A (ja) * 1996-02-28 1997-09-09 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH09312267A (ja) * 1996-05-23 1997-12-02 Rohm Co Ltd 半導体装置の製法およびその製造装置
US5951896A (en) * 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
JPH1145859A (ja) * 1997-07-28 1999-02-16 Fujitsu Ltd エピタキシャル成長装置
US6064799A (en) * 1998-04-30 2000-05-16 Applied Materials, Inc. Method and apparatus for controlling the radial temperature gradient of a wafer while ramping the wafer temperature
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
GB0115831D0 (en) * 2001-06-28 2001-08-22 Ceramaspeed Ltd Radiant electric heater
JP3660897B2 (ja) * 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4936621B2 (ja) * 2001-09-28 2012-05-23 アプライド マテリアルズ インコーポレイテッド 成膜装置のプロセスチャンバー、成膜装置および成膜方法
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
JP4544265B2 (ja) * 2002-02-28 2010-09-15 東京エレクトロン株式会社 シャワーヘッド構造及び成膜処理装置
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR20040085267A (ko) * 2003-03-31 2004-10-08 삼성전자주식회사 원자막 증착 장치
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20070241351A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Double-sided nitride structures
US7575982B2 (en) * 2006-04-14 2009-08-18 Applied Materials, Inc. Stacked-substrate processes for production of nitride semiconductor structures
US7470599B2 (en) * 2006-04-14 2008-12-30 Applied Materials, Inc. Dual-side epitaxy processes for production of nitride semiconductor structures
US20070254093A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor with concentration-monitor feedback
US20070254100A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7399653B2 (en) * 2006-04-28 2008-07-15 Applied Materials, Inc. Nitride optoelectronic devices with backside deposition
JP5024923B2 (ja) * 2006-04-28 2012-09-12 株式会社リコー 薄膜製造装置、薄膜製造方法および膜厚制御方法
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7459380B2 (en) * 2006-05-05 2008-12-02 Applied Materials, Inc. Dislocation-specific dielectric mask deposition and lateral epitaxial overgrowth to reduce dislocation density of nitride films
US7560364B2 (en) * 2006-05-05 2009-07-14 Applied Materials, Inc. Dislocation-specific lateral epitaxial overgrowth to reduce dislocation density of nitride films
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7128785B2 (en) * 2001-04-11 2006-10-31 Aixtron Ag Method for depositing especially crystalline layers from the gas phase onto especially crystalline substrates
CN1585832A (zh) * 2001-04-12 2005-02-23 马特森技术公司 用于在半导体衬底上外延淀积膜的系统和方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2001-203195A 2001.07.27

Also Published As

Publication number Publication date
US20090194024A1 (en) 2009-08-06
WO2009099720A1 (en) 2009-08-13
KR101296317B1 (ko) 2013-08-14
TWI513852B (zh) 2015-12-21
CN101925980A (zh) 2010-12-22
KR20100124257A (ko) 2010-11-26
TW200946713A (en) 2009-11-16
JP2011511459A (ja) 2011-04-07

Similar Documents

Publication Publication Date Title
CN101925980B (zh) 化学汽相沉积设备
US20110121503A1 (en) Cvd apparatus
TWI478771B (zh) 多氣體同心注入噴頭
US8481118B2 (en) Multi-gas straight channel showerhead
US9449859B2 (en) Multi-gas centrally cooled showerhead design
CN101328579B (zh) Hvpe喷头设计
US20180171479A1 (en) Materials and coatings for a showerhead in a processing system
US7396415B2 (en) Apparatus and methods for isolating chemical vapor reactions at a substrate surface
TW201246297A (en) Metal-organic vapor phase epitaxy system and process
US20090095222A1 (en) Multi-gas spiral channel showerhead
KR101349480B1 (ko) 성막장치
US20120000490A1 (en) Methods for enhanced processing chamber cleaning
JP2008028270A (ja) 結晶成長方法及び結晶成長装置
JP2000138168A (ja) 半導体ウェーハ及び気相成長装置
CN101423930A (zh) 具有前驱物源的喷头设计
US20120073503A1 (en) Processing systems and apparatuses having a shaft cover
US20130068320A1 (en) Protective material for gas delivery in a processing system
CN101418465A (zh) 具有前驱物预先混合的喷头设计
KR20190033439A (ko) 서셉터 및 이를 포함하는 mocvd 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant