US20120213938A1 - System for utilization improvement of process chambers and method of operating thereof - Google Patents

System for utilization improvement of process chambers and method of operating thereof Download PDF

Info

Publication number
US20120213938A1
US20120213938A1 US13/036,265 US201113036265A US2012213938A1 US 20120213938 A1 US20120213938 A1 US 20120213938A1 US 201113036265 A US201113036265 A US 201113036265A US 2012213938 A1 US2012213938 A1 US 2012213938A1
Authority
US
United States
Prior art keywords
chamber
processing
layer
transportation
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/036,265
Other languages
English (en)
Inventor
Erkan Koparal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KOPARAL, ERKAN
Publication of US20120213938A1 publication Critical patent/US20120213938A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/50Multilayers
    • B05D7/56Three layers or more
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • C23C14/185Metallic material, boron or silicon on other inorganic substrates by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering

Definitions

  • Embodiments of the present invention generally relate to processing systems and methods of operating thereof. Particularly, they relate to utilization of process chambers in a processing system, e.g., for multi-layer stack deposition. Specifically they relate to a substrate processing system and a method of depositing a layer stack in a substrate processing system
  • layers of different materials are deposited onto each other over a substrate.
  • this is done in a sequence of coating or deposition steps, wherein other processing steps like etching or structuring might also be provided before, between, or after the various deposition steps.
  • a multi-layer stack with a sequence of “material one”-“material two”-“material one” can be deposited. Due to different coating rates in different process steps and due to different thicknesses of the layers, the processing time in the processing chambers for depositing different layers may vary considerably.
  • a number of configurations of processing chambers can be provided.
  • in-line arrangements of deposition chambers can be used as well as cluster arrangements of deposition chambers.
  • a typical cluster arrangement comprises a central handling chamber and a number of processing or deposition chambers connected thereto.
  • the coating chambers may be equipped to carry out the same or different processes.
  • a typical in-line system includes a number of subsequent processing chambers, wherein processing steps are conducted in one chamber after the other such that a plurality of substrates can continuously or quasi-continuously be processed with the in-line system.
  • the handling of the process in in-line systems is quite easy, the processing time is determined by the longest processing time. Therefore, the efficiency of the process is affected.
  • Cluster tools allow for different cycle times.
  • the handling may be quite complex, which requires an elaborate transfer system provided in the central handling chamber.
  • a substrate processing system for processing an essentially vertically oriented substrate according to independent claim 1 and a method of depositing a layer stack in a substrate processing system according to independent claim 12 are provided.
  • Embodiments of the invention provide systems and methods of operating thereof, wherein an essentially vertically oriented substrate is processed in a processing system, for example, a system including in-line a processing system portions, such as a hybrid system between an inline-processing system and a cluster processing system, and at least one chamber is utilized at least twice.
  • a processing system for example, a system including in-line a processing system portions, such as a hybrid system between an inline-processing system and a cluster processing system, and at least one chamber is utilized at least twice.
  • a substrate processing system for processing an essentially vertically oriented substrate.
  • the system includes a first processing chamber having a first processing region and being adapted to deposit a first layer comprising a first material, a second processing chamber having a second processing region and being adapted to deposit a second layer over the first layer, the second layer comprising a second material, a third processing chamber having a third processing region and being adapted to deposit a layer comprising the second material, a transfer chamber providing essentially linear transport paths with the first, the second, and the third chambers, respectively, and a further chamber comprising a first and a second transportation track, wherein at least one of the first and second transportation tracks forms an essentially linear transportation path with the first processing chamber, wherein the first chamber is adapted to receive the substrate from the transfer chamber, and to deposit a further layer comprising the first material.
  • a method of depositing a layer stack in a substrate processing system having a first, a second and a third processing chamber includes depositing a first layer comprising a first material in the first processing chamber over an essentially vertically oriented substrate, depositing a second layer comprising a second material in one chamber selected from: the second processing chamber and the third processing chamber, wherein the second processing chamber and the third processing chamber are used in an essentially alternating manner, and depositing a third layer comprising the first material in the first processing chamber, wherein the first, the second and the third processing chambers are connected to a transfer chamber with essentially linear transport paths.
  • FIG. 1 is a schematic view of a substrate processing system having 3 deposition chambers, a transfer chamber providing linear transportation paths with the processing chambers and a dual transportation track system, according to embodiments described herein;
  • FIG. 2 is a schematic view of a further substrate processing system having several deposition chambers, a transfer chamber providing linear transportation paths with the processing chambers and a dual transportation track system, according to embodiments described herein;
  • FIG. 3 is a schematic view of a chamber including a dual transportation track system according to embodiments described herein;
  • FIG. 4 is a flow chart illustrating methods of depositing a layer stack in a processing system including an in-line substrate processing system portion, according to embodiments described herein;
  • FIG. 5 is a schematic view of another substrate processing system having several deposition chambers, a transfer chamber providing linear transportation paths with the processing chambers, and a dual transportation track system, according to embodiments described herein.
  • substrate as used herein shall embrace substrates, such as glass substrates.
  • the substrates are typically large area substrates with a size of 1.4 m 2 and above, typically 5 m 2 and above.
  • substrate sizes of 1.43 m 2 (Gen5) and above, such as 5.5 m 2 (Gen8.5), 9 m 2 (Gen10) or larger can be realized.
  • the substrates are essentially vertically-oriented.
  • a vertically oriented substrate can have some deviation from a vertical, i.e., 90°, orientation in a processing system in order to allow for stable transport with an inclination by a few degrees, i.e., the substrates are essentially vertically oriented.
  • In-line processing systems typically provide a sequence of chambers for depositing a sequence of layers. Thereby, one layer after the other is deposited in one chamber after the other. For example, a thin layer of molybdenum can be deposited over a substrate, subsequently a thick layer of aluminum is deposited over the molybdenum layer and a further thin layer of molybdenum is deposited over the aluminum layer. Thereby, a first chamber including a molybdenum deposition source can be provided. Thereafter, two deposition chambers for depositing aluminum can be provided. Thereafter, another chamber for depositing molybdenum is provided.
  • substrates in an in-line processing system can be transferred into the first aluminum chamber and the second aluminum chamber in an alternating manner, such that the deposition of the thicker aluminum layer is less limiting for the overall throughput in the in-line deposition system.
  • a deposition source for depositing molybdenum for example, a molybdenum sputtering target
  • a deposition source for depositing molybdenum can be very expensive, particularly for processing large area substrates.
  • four chambers are utilized in the above-described processing system and two chambers with very expensive deposition sources, for example, sputtering targets, need to be provided.
  • the above described processing system provides four chambers for depositing three layers. According to embodiments described herein, a reduced number of deposition chambers and, thus, an improved utilization of deposition chambers, can be provided.
  • three deposition chambers can be provided for depositing the three layers, for example, molybdenum-aluminum-molybdenum.
  • the deposition sources are provided as sputtering targets, such as rotatable sputtering targets.
  • a DC sputtering, a pulse sputtering, or an MF sputtering can be provided.
  • the middle frequency sputtering with frequencies in the range of 5 kHz to 100 kHz, for example, 30 kHz to 50 kHz, can be provided.
  • FIG. 1 illustrates an embodiment of a deposition system 100 .
  • the system includes the first deposition chamber 101 , a second deposition chamber 102 , and a third deposition chamber 103 . Further, the system includes a transfer chamber 111 , which is configured for transferring substrates from the first deposition chamber 101 to one of the second or third deposition chambers 102 / 103 . Further, the transfer chamber 111 is configured for transferring the substrate from one of the deposition chambers 102 / 103 to the first deposition chamber 101 .
  • the first deposition chamber 101 has a first deposition source 141
  • the second deposition chamber 102 and the third deposition chamber 103 each have another deposition source 142
  • the deposition sources 142 in the second and the third chamber can be a similar deposition source such that the second chamber 102 and the third chamber 103 can be used in an alternating manner.
  • the deposition sources are provided as sputtering targets, such as rotatable sputtering targets.
  • the overall throughput can be increased because substrates, which are continuously or quasi-continuously processed in the processing system, can be processed in the chambers 102 and 103 in an alternating manner. For example, this can be the case if the layer to be deposited with the deposition source 142 is a thick layer or if the deposition rate of a deposition source 142 is low.
  • the transfer chamber 111 and the chambers 101 , 102 , and 103 are connected via linear transport paths 151 , 152 , and 153 , respectively.
  • large area substrates which are typically used for display manufacturing can be transported in the processing system 100 .
  • the linear transport paths 151 , 152 , and 153 are provided by transportation tracks 161 , such as linear transportation tracks having, e.g., a plurality of rollers arranged along a line.
  • the transportation tracks 161 can be provided by a transportation system at the bottom of the large area substrates and a guiding system at the top of the essentially vertically oriented large area substrates.
  • the transfer chamber 111 can be a rotation module, particularly a vacuum rotation module, which is configured for rotation of the substrates with respect to a vertical rotational axis. This rotation is indicated by reference numeral 112 .
  • a substrate entering the transfer chamber 111 via the transportation path 151 can be further transferred to the chamber 103 via transportation path 153 without a rotation in the transfer chamber 111 .
  • a substrate which enters the transfer chamber 111 via the transportation path 151 can be a rotated within the transfer chamber 111 in order to enter the chamber 102 via transportation path 152 .
  • a transfer out of the chambers 102 , 103 to the chamber 111 can be conducted with or without a corresponding rotation, respectively.
  • the arrangement of deposition chambers 101 , 102 , and 103 in combination with the transfer chamber 111 can be used to improve the utilization of a plurality of deposition chambers, particularly of the deposition chamber 101 .
  • the deposition chamber 101 is configured for deposition of expensive materials such as a molybdenum-containing material, a platinum-containing material, a gold-containing material, or a silver-containing material
  • an operator of the processing system 100 needs to purchase only one set of deposition sources of the expensive kind. Accordingly, the value of targets that need to be held on stock in order to enable short downtimes can be reduced.
  • the in-line processing system 100 includes an improved utilization of the processing chambers and allows for feeding of the substrates into the processing system in a continuous or quasi-continuous manner.
  • the further chamber 121 and the yet further chamber 122 are provided with a first and a second transportation track 163 and 164 , respectively.
  • the set of transportation tracks is configured for a lateral movement of a substrate within the chamber 121 .
  • the substrate can be moved essentially horizontally such that a displacement along a direction perpendicular to the transportation paths.
  • the chamber 122 can be a load lock chamber for inserting the substrates into the processing system 100 and for discharging the substrates out of the processing system.
  • the chamber 121 can be a chamber selected from the group consisting of: a buffer chamber, a heating chamber, a transfer chamber, a cycle-time-adjusting chamber, or the like.
  • the chambers shown exemplarity in FIG. 1 are vacuum chambers, i.e., they are configured for transferring or processing the substrates at the pressure of 10 mbar or below. Thereby, the substrates are locked into or locked out off the chamber 122 , which is configured for being evacuated before a vacuum valve between chambers 122 and 121 is opened for further transport of the substrate into the chamber 121 in the processing system 100 .
  • several substrates can be processed in the processing system having an in-line processing system portion at the same time.
  • the improved utilization of deposition chambers can be used for layer stacks, wherein the first layer and another layer, e.g., a final layer, are thin as compared to an intermediate layer.
  • a layer stack can include at least a molybdenum-containing layer, a copper-containing layer, and a molybdenum-containing layer, wherein these three layers included are provided in this order.
  • the layer stack could also include a molybdenum-containing layer, an aluminum-containing layer, and a molybdenum containing layer, wherein these three layers included are provided in this order.
  • the molybdenum-containing layer could also be another layer of the above-described layers including an expensive material.
  • a further deposition chamber 204 and a yet further deposition chamber 205 can also be provided.
  • the chambers 204 and 205 can be connected to the transfer chamber 111 .
  • linear transport paths 254 and 255 are provided.
  • Substrates can be provided in one of the chambers 204 and 205 in an alternating manner such that a layer is deposited with one of the deposition sources 244 which may include a source for a yet further material.
  • the deposition sources 244 can be of a similar kind such that essentially the same layer can be deposited in chambers 204 and 205 , and the chambers 204 and 205 can be used in an alternating manner.
  • a layer stack can include a thin molybdenum-containing layer, a thick layer comprising a first material, a thick layer comprising a second material, and a thin molybdenum-containing layer.
  • the molybdenum-containing layer could also be another layer of the above-described layers including an expensive material.
  • the further chambers 204 and 205 could also be heating chambers for an intermediate heating of the substrates to a desired temperature for the following processing step, e.g. deposition step.
  • the deposition sources 244 could be of the same kind as deposition sources 142 , such that an intermediate layer could be processed for an even longer time as compared to the embodiments shown in FIG. 1 .
  • the deposition sources are provided as sputtering targets, such as rotatable sputtering targets.
  • the deposition sources 244 could deposit different materials such that a layer stack with more than four layers to be deposited can be manufactured in the system.
  • the processing systems can also have a transportation system with a first transportation track 163 , a second transportation track 164 and one or more further transportation tracks, such as the third transportation track 265 , which is shown in chambers 121 and 122 in FIG. 2 .
  • the substrates can be transferred from the load lock chamber 122 in the further chamber 121 , or one substrate can be transferred from the further chamber 121 in the load lock chamber 122 while another substrate is transferred from the load lock chamber 122 in the further chamber 121 . Accordingly, a transfer of substrates can be conducted in a more flexible manner, such that applications for which the transfer of substrates might be a limiting factor for the cycle time can be increased in throughput.
  • the chamber 121 has a chamber wall 302 with openings 306 .
  • the openings 306 are configured for transfer of the essentially vertically-oriented substrates. Accordingly, the openings 306 can have the shape of a slit. Typically, the openings can be opened and closed with a vacuum valve.
  • the chamber 121 can have a flange 304 for connection of a vacuum system, such as a vacuum pump or the like. Thereby, the chamber 121 can be evacuated when at least one of the vacuum valves, preferably both vacuum valves for closing the openings 306 , are closed.
  • a vacuum system such as a vacuum pump or the like.
  • the substrate transport system or carrier transport system, respectively, having a first transportation track 163 and a second transportation track 164 includes two groups of transportation elements.
  • the transportation elements 310 of the first group of transportation elements include a transportation roller 312 .
  • the transportation elements 320 of the second group of transportation elements include a transportation roller 322 .
  • the transportation elements 310 are rotatable around the rotation axis 311 .
  • the transportation elements 320 are rotatable around the rotation axis 321 .
  • Each of the transportation elements 310 and 320 are illustrated in FIG. 3 in two positions. Thereby, one position is shown with dotted lines.
  • Each of the transportation elements has a bearing element 314 or 324 , respectively.
  • the bearing elements are configured for providing the rotation and for providing linear movement along the axis 311 or 321 , respectively.
  • the rotation elements can be moved from the first position to the second position (dotted lines) by the linear movement of the bearing element.
  • the transportation roller 312 is offset with respect to the transportation roller 322 .
  • the transportation roller 312 of the transportation elements 310 can move from the first transportation track 163 to the second transportation track 164 .
  • a substrate which is positioned in the first transportation track, i.e., on the transportation roller for driving the carrier, can be moved to the second transportation track.
  • a substrate which is positioned in the second transportation track 164 , can be moved to the first transportation track.
  • the transportation elements 310 and 320 which are illustrated in FIG. 3 , provide the substrate support for the essentially vertically oriented substrate, which is adapted to support the substrate at the lower end thereof. According to further embodiments, which can be combined with other embodiments described herein, the substrate transportation system or the carrier transportation system, respectively, can also include an upper transportation means.
  • the transportation means is one or more groups of guiding elements for guiding the substrates in one of the first transportation path or the second transportation path.
  • the guiding elements can be magnetic guiding elements having a recess, e.g., two slits, through which the substrate can be transferred.
  • these guiding elements can also include a bearing for linear movement such that the shift from the first transportation track to the second transportation track can be conducted.
  • the transportation elements 310 and the transportation elements 320 are moved synchronously for lateral transfer of the essentially vertically oriented substrate within the chamber 121 .
  • the upper elements, such as the guiding elements, are also moved at the same time.
  • the transportation elements 310 and 320 can further include belt drives 316 and 326 for driving the rotation of the transportation elements in order to transport the substrates or carriers provided on the transportation rollers along the transportation paths. According to some embodiments, which can be combined with other embodiments described herein, one or more of the belt drives can be driven by one motor.
  • FIG. 4 illustrates a method of depositing a layer stack in a hybrid system between an inline-processing system and a cluster processing system having an improved utilization of deposition chambers.
  • a first layer is deposited in a first chamber in step 402 .
  • the first layer can typically include at least one material selected from the group consisting of: molybdenum, platinum, and gold.
  • the first layer is typically a thin layer or a layer which can be deposited within a time that is short as compared to the deposition time of a second layer.
  • the substrate is then transferred in either the second or the third chamber such that the second layer can be either deposited in the second chamber in step 404 or third chamber in step 405 .
  • step 404 and 405 can be conducted in an alternating manner.
  • the deposition system is not unnecessarily limited in throughput by the longer deposition step.
  • step 406 another layer comprising the same material as the first layer (see step 402 ) is deposited.
  • Step 406 is conducted in the same chamber as step 402 . Thereby, an improved utilization of deposition chambers is provided.
  • FIG. 5 illustrates another embodiment of a deposition system 100 .
  • the system includes the first deposition chamber 101 , a second deposition chamber 102 , and a third deposition chamber 103 . Further, the system includes a transfer chamber 111 , which is configured for transferring substrates from the first deposition chamber 101 to one of the second or third deposition chambers 102 / 103 . Further, the transfer chamber 111 is configured for transferring the substrate from one of the deposition chambers 102 / 103 to the first deposition chamber 101 .
  • the first deposition chamber 101 has a first deposition source 141
  • the second deposition chamber 102 and the third deposition chamber 103 each have another deposition source 142 . Further details have been described with respect to FIG. 1 above.
  • the transfer chamber 111 and the chambers 101 , 102 , and 103 are connected via linear transport paths 151 , 152 , and 153 , respectively.
  • large area substrates which are typically used for display manufacturing can be transported in the in-line processing system 100 .
  • the linear transport paths 151 , 152 , and 153 are provided by transportation tracks 161 such as linear transportation tracks having, e.g., a plurality of rollers arranged along a line.
  • the transportation tracks 161 can be provided by a transportation system at the bottom of the large area substrates and a guiding system at the top of the essentially vertically-oriented large area substrates.
  • the transfer chamber 111 can be a rotation module, particularly a vacuum rotation module, which is configured for rotation of the substrates with respect to a vertical rotational axis. This rotation is indicated by reference numeral 112 .
  • a substrate entering the transfer chamber 111 via the transportation path 151 can be further transferred to the chamber 103 via transportation path 153 without a rotation in the transfer chamber 111 .
  • a substrate which enters the transfer chamber 111 via the transportation path 151 can be a rotated within the transfer chamber 111 in order to enter the chamber 102 via transportation path 152 .
  • a transfer out of the chambers 102 , 103 to the chamber 111 can be conducted with or without a corresponding rotation, respectively.
  • the arrangement of deposition chambers 101 , 102 and 103 in combination with the transfer chamber 111 can be used to improve the utilization of a plurality of deposition chambers, particularly of the deposition chamber 101 .
  • the deposition chamber 101 is configured for deposition of expensive materials such as a molybdenum-containing material, a platinum-containing material, a gold-containing material, or a silver-containing material
  • an operator of the processing system 100 needs to purchase only one set of deposition sources of the expensive kind. Accordingly, the value of targets that need to be held on stock in order to enable short downtimes can be reduced.
  • the hybrid system 100 between an inline-processing system and a cluster processing system includes an improved utilization of the processing chambers and allows for feeding of the substrates into the processing system in a continuous or quasi-continuous manner.
  • a further chamber 521 and load lock chambers 122 and 522 are provided in the processing system 500 .
  • the chamber 521 has a first and a second transportation track 563 and 564 .
  • the set of transportation tracks is configured for lateral movement of a substrate within the chamber 521 . Thereby, the substrate can be moved essentially horizontally such that a displacement along a direction perpendicular to the transportation paths occurs.
  • the lateral movement of the substrate can be used to transfer the substrates in either one of the first and the second load lock chambers, respectively.
  • two load lock chambers are provided, which can be evacuated or vented individually. This might be helpful to further increase the throughput of the processing system.
  • a substrate processing system for processing an essentially vertically-oriented substrate.
  • the system includes a first processing chamber having a first processing region and being adapted to deposit a first layer comprising a first material, a second processing chamber having a second processing region and being adapted to deposit a second layer over the first layer, the second layer comprising a second material, a third processing chamber having a third processing region and being adapted to deposit a layer comprising the second material, a transfer chamber providing essentially linear transport paths with the first, the second, and the third chambers, respectively, and a further chamber comprising a first and a second transportation track, wherein at least one of the first and second transportation tracks forms an essentially linear transportation path with the first processing chamber, and wherein the first chamber is adapted to receive the substrate from the transfer chamber, and to deposit a further layer comprising the first material.
  • the transfer chamber can be a rotation module, particularly a vacuum rotation module for rotation substrate under a pressure below 10 mbar;
  • the system can include an inline processing system portion, particularly wherein the system can be a hybrid system between an inline-processing system and a cluster processing system; and/or the system can further include a lateral displacement mechanism configured for lateral displacement of the substrate from the first transportation track to the second transportation track and vice versa.
  • the lateral displacement mechanism can be disposed in the further chamber.
  • the system can further include one or more of the following features selected from the group consisting of: the system can further include at least one load lock chamber comprising further portions of each of the first and the second transportation track, wherein the further portions are provided in extension of the first and second transportation track in the further chamber; the system can further include at least one further chamber having a further processing region and being adapted to deposit a further layer comprising a third material, wherein the at last one further chamber is connected to the transfer chamber; the at least one further chamber can be at least two further chambers, each being adapted to deposit the layer comprising the third material; and the first material can be selected from the group consisting of: molybdenum, molybdenum-alloys, platinum, platinum-alloys, gold, gold-alloys, titanium, titanium-alloys, silver, and silver-alloys.
  • the first material can be molybdenum, a molybdenum-alloy, titanium, or a titanium-alloy.
  • the system can further include one or more of the following features selected from the group consisting of: the further chamber can include a third transportation track; the first transportation track can include a plurality of guiding elements for guiding in a transport direction, wherein the second transportation track can include a plurality of guiding elements for guiding in the transport direction, and wherein the guiding elements of the first transportation track and the second transportation track are adapted for a first and second guiding position respectively such that the guiding positions are displaced in a direction perpendicular to the transport direction; and the guiding elements of the first transportation track and the guiding elements of the second transportation track can be provided along the transportation direction alternately.
  • a method of depositing a layer stack in a substrate processing system having a first, a second, and a third processing chamber includes depositing a first layer comprising a first material in the first processing chamber over an essentially vertically oriented substrate, depositing a second layer comprising a second material in one chamber selected from: the second processing chamber and the third processing chamber, wherein the second chamber processing and the third processing chamber are used in an essentially alternating manner, depositing a third layer comprising the first material in the first processing chamber, wherein the first, the second, and the third processing chambers are connected to a transfer chamber with essentially linear transport paths, and laterally displacing a substrate between a first transportation track and a second transportation track in a further chamber.
  • the first layer on a first substrate can be deposited while the second layer on another substrate is deposited; the method can further include transferring two substrates simultaneously onto or off the first transportation track and the second transportation track; and/or the first material can be selected from the group consisting of: molybdenum, molybdenum-alloys, platinum, platinum-alloys, gold, gold-alloys, titanium, titanium-alloys, silver, and silver-alloys.
  • the first material can be molybdenum, a molybdenum-alloy, titanium, or a titanium-alloy.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
US13/036,265 2011-02-21 2011-02-28 System for utilization improvement of process chambers and method of operating thereof Abandoned US20120213938A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP11155238.6 2011-02-21
EP11155238.6A EP2489759B1 (en) 2011-02-21 2011-02-21 System for utilization improvement of process chambers and method of operating thereof

Publications (1)

Publication Number Publication Date
US20120213938A1 true US20120213938A1 (en) 2012-08-23

Family

ID=44243134

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/036,265 Abandoned US20120213938A1 (en) 2011-02-21 2011-02-28 System for utilization improvement of process chambers and method of operating thereof
US14/000,369 Active US9211563B2 (en) 2011-02-21 2012-02-21 Coating apparatus and method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/000,369 Active US9211563B2 (en) 2011-02-21 2012-02-21 Coating apparatus and method

Country Status (7)

Country Link
US (2) US20120213938A1 (zh)
EP (2) EP2489759B1 (zh)
JP (1) JP5945553B2 (zh)
KR (2) KR20190053293A (zh)
CN (1) CN102803551B (zh)
TW (1) TWI579952B (zh)
WO (1) WO2012113792A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130092527A1 (en) * 2011-10-14 2013-04-18 Chenming Mold Ind. Corp. Method for Manufacturing Shielding
EP2892834B1 (en) * 2012-09-10 2018-05-02 Applied Materials, Inc. Substrate transfer device and method of moving substrates
US10043693B1 (en) * 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI582256B (zh) 2013-02-04 2017-05-11 愛發科股份有限公司 薄型基板處理裝置
CN106165081A (zh) * 2014-04-02 2016-11-23 应用材料公司 基板处理系统、用于基板处理系统的真空旋转模块以及用于操作基板处理系统的方法
TWI557837B (zh) * 2014-04-10 2016-11-11 Uvat Technology Co Ltd Vacuum equipment multi-vehicle simultaneous multi-processing process
TWI676227B (zh) * 2015-01-23 2019-11-01 美商應用材料股份有限公司 半導體工藝設備
WO2016188550A1 (en) * 2015-05-22 2016-12-01 Applied Materials, Inc. Lock chamber, inline substrate processing system and method of operating an inline substrate processing system
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
DE102015013799A1 (de) * 2015-10-26 2017-04-27 Grenzebach Maschinenbau Gmbh Vorrichtung und Verfahren zum Beschichten überlanger flächenhafter Substrate, insbesondere Glasscheiben, in einer Vakuum-Beschichtungsanlage
CN105239051B (zh) * 2015-11-17 2018-11-30 广东腾胜真空技术工程有限公司 双向进出交替镀膜装置及方法
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
JP6830772B2 (ja) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ 積層膜の製造装置、及び積層膜の製造方法
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
KR20180086715A (ko) * 2017-01-23 2018-08-01 어플라이드 머티어리얼스, 인코포레이티드 반송챔버, 이를 포함하는 기판처리시스템 및 이를 이용한 기판처리시스템의 기판처리방법
KR20220129599A (ko) 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
KR20220129598A (ko) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
WO2023174510A1 (en) * 2022-03-14 2023-09-21 Applied Materials, Inc. Vacuum deposition system and method of coating substrates in a vacuum deposition system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4526670A (en) * 1983-05-20 1985-07-02 Lfe Corporation Automatically loadable multifaceted electrode with load lock mechanism
WO2010000503A1 (en) * 2008-06-09 2010-01-07 Applied Materials Inc. - A Corporation Of The State Of Delaware Coating system and method for coating a substrate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL43325A0 (en) * 1973-05-17 1973-11-28 Globe Amerada Glass Co Process and apparatus for manufacturing metal-coated glass
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR101270526B1 (ko) * 2004-05-26 2013-06-04 가부시키가이샤 아루박 진공처리장치
JP4754791B2 (ja) * 2004-08-04 2011-08-24 株式会社アルバック 真空処理装置
KR100667886B1 (ko) 2005-07-01 2007-01-11 주식회사 에스에프에이 인라인 스퍼터링 시스템
JP4711770B2 (ja) * 2005-08-01 2011-06-29 株式会社アルバック 搬送装置、真空処理装置および搬送方法
KR101225312B1 (ko) * 2005-12-16 2013-01-22 엘지디스플레이 주식회사 프로세스 장치
DE102005061563A1 (de) * 2005-12-22 2007-07-19 Applied Materials Gmbh & Co. Kg Anlage zur Behandlung von Substraten und Verfahren
EP1956111B1 (de) * 2007-02-09 2010-09-08 Applied Materials, Inc. Anlage mit einer Transportvorrichtung zur Behandlung von Substraten
JP2008199021A (ja) * 2007-02-09 2008-08-28 Applied Materials Inc 基板を処理するための設備における搬送装置
JP2011518252A (ja) * 2008-03-05 2011-06-23 アプライド マテリアルズ インコーポレイテッド 回転モジュールを備えたコーティング装置
US9353436B2 (en) * 2008-03-05 2016-05-31 Applied Materials, Inc. Coating apparatus with rotation module

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4526670A (en) * 1983-05-20 1985-07-02 Lfe Corporation Automatically loadable multifaceted electrode with load lock mechanism
WO2010000503A1 (en) * 2008-06-09 2010-01-07 Applied Materials Inc. - A Corporation Of The State Of Delaware Coating system and method for coating a substrate

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130092527A1 (en) * 2011-10-14 2013-04-18 Chenming Mold Ind. Corp. Method for Manufacturing Shielding
EP2892834B1 (en) * 2012-09-10 2018-05-02 Applied Materials, Inc. Substrate transfer device and method of moving substrates
US10043693B1 (en) * 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
WO2018226366A1 (en) * 2017-06-06 2018-12-13 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber

Also Published As

Publication number Publication date
CN102803551A (zh) 2012-11-28
EP2489759A1 (en) 2012-08-22
EP2678462B1 (en) 2017-07-26
EP2678462A1 (en) 2014-01-01
JP2014507565A (ja) 2014-03-27
US9211563B2 (en) 2015-12-15
KR20190053293A (ko) 2019-05-17
KR20140015372A (ko) 2014-02-06
EP2489759B1 (en) 2014-12-10
WO2012113792A1 (en) 2012-08-30
CN102803551B (zh) 2016-03-16
KR102095717B1 (ko) 2020-04-01
US20140044880A1 (en) 2014-02-13
TWI579952B (zh) 2017-04-21
TW201248760A (en) 2012-12-01
JP5945553B2 (ja) 2016-07-05

Similar Documents

Publication Publication Date Title
EP2489759B1 (en) System for utilization improvement of process chambers and method of operating thereof
WO2015149848A1 (en) System for substrate processing, vacuum rotation module for a system for substrate processing and method of operating a substrate processing system
TWI613304B (zh) 具有相鄰濺鍍陰極之裝置及其操作方法
US20100272550A1 (en) Substrate holding mechanism, substrate delivering/receiving mechanism, and substrate processing apparatus
US20090324368A1 (en) Processing system and method of operating a processing system
US20150303090A1 (en) Substrate transfer device and method of moving substrates
US20120103254A1 (en) Thin-film formation system and organic el device manufacturing system
JP5657527B2 (ja) 基板をコーティングするためのコーティングシステム及び方法
JP2013206820A (ja) 有機elデバイス製造装置及び有機elデバイス製造方法
US20090304907A1 (en) Coating system and method for coating a substrate
JP6055229B2 (ja) 被処理体の搬送機構および真空処理装置
CN208240622U (zh) 用于装载及卸载基板的负载锁定腔室和直列基板处理系统
EP2133445B1 (en) Coating System and Method for Coating a Substrate
US8534976B2 (en) Apparatus for providing a rotation carrier magazine, and method of operating thereof
JPH08232062A (ja) コーティングするための装置
CN215163072U (zh) 沉积设备和沉积系统
JP2013110114A (ja) 有機elデバイス製造装置及び角度補正機構

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KOPARAL, ERKAN;REEL/FRAME:026401/0223

Effective date: 20110606

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION